数字电路频率计课程设

数字电路频率计课程设
数字电路频率计课程设

课程设计任务书

学生姓名:周凤阳专业班级:电信0804

指导教师:孟哲工作单位:信息工程学院

题目: 简易频率计的设计仿真与制作

初始条件:

本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统设计。用数码管显示频率计数值。

要求完成的主要任务:(包括课程设计工作量及技术要求,以及说明书撰写等具体要求)

1、课程设计工作量:1周内完成对简易频率计的设计、仿真、装配与调试。

2、技术要求:

错误!未找到引用源。设计一个频率计。要求用4位7段数码管显示待测频率,格式为

0000Hz。

错误!未找到引用源。测量频率范围:10~9999Hz。

③测量信号类型:正弦波、方波和三角波。

④测量信号幅值:0.5~5V。

⑤设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1S。

⑥确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画

出总体电路原理图,阐述基本原理。

3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全

文用A4纸打印,图纸应符合绘图规范。

时间安排:

1)2010 年6 月26~27 日,查阅相关资料,学习设计原理。

2)2010 年6 月28~30 日,方案选择和电路设计仿真。

3)2010 年7 月1~3 日,电路调试和设计说明书撰写。

4)2010 年7 月4 日上交课程设计成果及报告,同时进行答辩。

指导教师签名:年月日

系主任(或责任教师)签名:年月日

目录

摘要 (3)

1.设计任务及要求 (4)

1.1设计目的 (4)

1.2设计要求 (4)

2.整体方案与原理 (4)

2.1总体设计方案 (4)

2.2整体框图及电路原理 (5)

2.2.1设计框图 (5)

2.2.2工作原理 (6)

3.单元电路设计 (7)

3.1时基电路设计 (7)

3.2整形放大电路设计 (8)

3.3闸门电路设计 (8)

3.4计数显示电路设计 (10)

3.5总体电路图 (11)

4.仿真及调试 (12)

4.1部分电路的调试 (12)

4.2总体电路的调试 (13)

5. 设计小结与心得体会 (14)

6.参考文献 (15)

附录 (17)

摘要

在数字电路中,数字频率计属于时序电路,它主要由具有记忆功能的触发器构成。在计算机及各种数字仪表中,都得到了广泛的应用。在CMOS电路系列产品中,数字频率计是用量最大、品种很多的产品,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。数字电子技术设计是运用触发器和逻辑门电路来实现异步加法十进制计数器。自制电路设计是运用所学习的知识来自行设计一个有实际意义的电路。Proteus软件是一种低投资的电子设计自动化软件,提供可仿真数字和模拟、交流和直流等数千种元器件和多达30多个元件库。Proteus软件提供多种现实存在的虚拟仪器仪表。此外,Proteus还提供图形显示功能,可以将线路上变化的信号,以图形的方式实时地显示出来。这些虚拟仪器仪表具有理想的参数指标,例如极高的输入阻抗、极低的输出阻抗,尽可能减少仪器对测量结果的影响,Proteus软件提供丰富的测试信号用于电路的测试。这些测试信号包括模拟信号和数字信号。提供Schematic Drawing、SPICE仿真与PCB设计功能,同时可以仿真单片机和周边设备,可以仿真51系列、AVR、PIC等常用的MCU,并提供周边设备的仿真,例如373、led、示波器等。Proteus提供了大量的元件库,有RAM、ROM、键盘、马达、LED、LCD、AD/DA、部分SPI器件、部分IIC器件,编译方面支持Keil 和MPLAB等编译器。而它在仿真和程序调试时,关心的不再是某些语句执行时单片机寄存器和存储器内容的改变,而是从工程的角度直接看程序运行和电路工作的过程和结果。

1.设计任务及要求

1.1设计目的

(1)掌握数字频率计的设计、制作方法。

(2)掌握振荡器、分频器、记数译码显示电路、单稳态电路的设计

1.2设计要求

(1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。

(2)测量频率范围:10~9999Hz。

(3)测量信号类型:正弦波、方波和三角波。

(4)测量信号幅值:0.5~5V。

(5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1S。

(6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。

2.整体方案与原理

2.1总体设计方案完成

数字频率计的主要功能是测量周期信号的频率。频率是指单位时间(1s)内信号发生周期变化的次数。如果我们能在给定的 1S 时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,完成这一功能的我们称为时基电路。同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,被测周期信号在输入电路中经过放大、整形、微分操作之后形成特定周期的脉冲,送到主门的一个输入端。主门的另外一个输入端为时基电路产生电路产生的闸门脉冲。在闸门脉冲开启主门的期间,特定周期的脉冲才能通过主门,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来。这就是本简易数字频率计的基本原理。

2.2整体框图及电路原理

2.2.1设计框图

计数器

锁存器

闸门

译码器

整形放大器

分频器

信号发生器单稳1

单稳2

a b d

g f e

c

锁存

1S

清零

待测周期信号

f x

闸门控制信号

待测周期信号f

x

闸门控制信号

整形输出信号

闸门输出信号

计数器输出

单稳2计数器清零单稳1锁存信号

计数

锁存

清零

重新计数

2.2.2工作原理

整个电路的工作原理是:时基信号由555定时器构成一个较稳定的多谐振荡器,经整形,74LS123分频后,产生一个标准的时基信号,作为闸门开通的基准时间。被测信号通过闸门,作为74LS90计数器的时钟信号,计数器即开始记录时钟的个数,计数的个数经74LS273锁存器锁存,然后,经74LS48译码驱动,数码管实时显示被测信号频率的数值,这样就达到了测量频率的目的。

输入电路:由于输入的信号可以是方波,正弦波,三角波。而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路,首先通过整形电路将正弦波或者三角波转化成矩形波。在整形之前由于不清楚被测信号的强弱的情况。所以在通过整形之前通过放大衰减处理。当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。当输入信号电压幅度较小时,前级输入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益,时被测信号得以放大。波形整形可以采用施密特触发器。

被测信号经整形后变为符合要求的脉冲信号,送入闸门电路,等待有效时基信号的到来。时基信号由555定时器构成一个较稳定的多谐振荡器,经整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。其两个暂态时间分别为T1=0.7(Ra+Rb)C T2=0.7RbC2,在本次设计中设置T1=1s,T2=0.25s。

分频器是为了获得 1S 的标准时间。电路首先对时基电路产生的脉冲信号经74LS123分频得到周期为 1S 的脉冲信号。然后再进行二分频得到占空比为50 %脉冲宽度为 1S 的方波信号,由此获得测量频率的基准时间。利用此信号去打开与关闭控制门,可以获得在 1S 时间内通过控制门的被测脉冲的数目。

控制门用于控制输入脉冲是否送计数器计数。它的一个输入端接标准秒信号,一个输入端接被测脉冲。控制门电路可用74LS00与非门构成

计数显示电路:在闸门电路导通的情况下,开始计数被测信号中有多少个上升沿。在计数的时候数码管不显示数字。当计数完成后,此时要使数码管显示计数完成后的数字。根据设计要求,最高测量频率为 9999Hz ,应采用 4 位十进制计数器,可以选用现成的10进制集成计数器。而本设计采用74ls90二、五、十进制计数器的。

3.单元电路设计

3.1时基电路设计

3.1.1时基电路:选用555定时电路构成时基电路,555可构成多谢振荡器,可以产生矩形的波形,再根据t pH = (R1+R2)C1n2≈0.7(R1+R2)C,可以设置其相关系数。在本实验

多谢振荡器及其工作波形

中要求t pH=1s所以估算出R1=47KO R2=39KO C=10uf

实验中采用的电路可以控制器占空比有可变电位器控制

振荡器构成的时基电路

3.2整形放大电路设计

施密特触发器及工作波形

VI<1/3Vcc 时,Vo=1 VI>2/3Vcc 时,Vo=0, 1/3Vcc

3.3闸门电路设计

控制门用于控制输入脉冲是否送计数器计数。当秒信号来到时,闸门开通被测脉冲信号通过闸门送到计数译码显示电路。秒信号结束时闸门关闭。当 1R , 1B ,1 触发脉冲从1A 端输入时,在触发脉冲的负跳变作用下,输出端1Q 可获得一负脉冲,其波形关系正好满足所需波形要求。手动复位开关S 按下时,计数器清“0”。用两个74LS123,分别控制单稳态1和单稳态2

V C C

V C C

8 4

555

6

2 v I

R

v O 2 v O 1 V IC 0.01 F

7

3

5

1

v I

V

C C 2 3 V C C 1

3

O v

t

v O

O

t

t

3.4计数显示电路设计

计数器的作用是对输入脉冲计数。根据设计要求,最高测量频率为 9999Hz ,应采用4 位十进制计数器,可以选用现成的10进制集成计数器。而本设计采用74ls90二、五、十进制计数器的。

锁存器的作用是将计数器在1s结束时所计得的数进行锁存,使显示器上能稳定地显示此时计数器的值。选用两个8位锁存器74L273可以完成上述功能。当时锁存信号CP的正跳变来到时,锁存器的输出等于输入,从而将计数器的输出值送到锁存器的输出端。高电平结束后,无论D为何值,输出端的状态仍保持原来的状态不变,所以在计数期间内,计数器的输出不会送到译码显示器。

显示译码器选用了74LS48来驱动这四个共阴数码管了

3.5总体电路图

4.仿真及调试

4.1部分电路的调试时基电路波形测试:

整形电路波形测试

4.2总体电路的调试

A为时基电路,B为整形电路,在时基电路有效时候的输入信号。

5. 设计小结与心得体会

6.参考文献

[1]李国丽,朱维勇电子技术实验指导书.中国科技大学出版社

[2]康华光电子技术基础模拟部分(第四版).高教出版社

[3]阎石数字电子技术基础(第四版).高教出版社

[4]谢自美.电子线路设计?实验?测试.第2版.华中科技大学出版社,2000.7 [5]赵曙光可编程逻辑器件原理、开发与应用.西安:西安电子科技大学出版社

附录

74LS90功能表

件清单

输 入

输 出 功 能

清 0 置 9 时 钟 Q D Q C Q B Q A

R 0(1)、R 0(2) S 9(1)、S 9(2) CP 1 CP 2 1 1 0 × × 0 × × 0 0 0 0 清 0 0 ×

× 0

1

1

× × 1

1

置 9 0 × × 0

0 × × 0

↓ 1 Q A 输 出 二进制计数 1 ↓

Q D Q C Q B 输出 五进制计数 ↓ Q A

Q D Q C Q B Q A 输出8421BCD 码 十进制计数

Q D ↓ Q A Q D Q C Q B 输出5421BCD 码 十进制计数

1 1 不 变

保 持

电阻1K

2个 电位器200K 1个 电阻3.3K

1个 电位器5K 1个 电阻10K

2个 74LS00 2个 电阻39K 2个 74LS90 4个 电阻47K

2个 74LS123 2个 电阻57K

1个 74LS273 2个 电容4.7UF

2个 74LS48 4个 电容47UF

2个 NE555 2个 电容10UF 共阴数码管 4个 三极管

本科生课程设计成绩评定表姓名性别

专业、班级

课程设计题目:

课程设计答辩或质疑记录:

成绩评定依据:

最终评定成绩(以优、良、中、及格、不及格评定)

指导教师签字:

年月日

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

简易数字频率计设计

简易数字频率计设计报告 设计内容: 1、测量信号:方波、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得); 5、当被测信号的频率超出测量范围时,报警。 设计报告书写格式: 1、选题介绍和设计系统实现的功能; 2、系统设计结构框图及原理; 3、采用芯片简介; 4、设计的完整电路以及仿真结果; 5、Protel绘制的电路原理图; 6、制作的PCB; 7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。 电子课程设计过程: 系统设计→在Multisim2001下仿真→应用Protel 99SE绘制电路原理图→制作PCB →撰写设计报告

简易数字频率计课程设计报告 第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进

5.3心得体会附录 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。

数字频率计设计_数字电子技术课程设计实验报告

电子技术基础 课程设计 题目名称:数字频率计设计 评语: 成绩: 重庆大学电气工程学院 2015年7月6日 目录 摘要 (1) 1、设计的目的及要求 (2) 1.1、设计目的 (2) 1.2、设计要求 (2) 2、设计思路及方案选择 (2) 2.1、设计思路 (2) 2.2、设计方案选择 (2)

3、设计及仿真 (3) 3.1、总体框图 (3) 3.2、各模块功能实现及介绍 (3) (1)整形电路 (3) (2)时钟产生及分频电路 (4) (3)T触发器 (5) (4)单稳触发器 (6) (5)计数器 (7) (6)锁存器 (8) (7)显示 (8) (8)小数点功能的实现 (8) 3.3全部电路及功能测试 (10) 4、焊接规划及实物设计 (12) 4.1、逻辑设计图转换 (12) 4.2、电路VCC\GND端共线设计 (12) 4.3、焊接元器件及排针 (12) 4.4、元件接线及电流引入 (12) 5、总结与感想 (12) 参考文献 (14)

摘要 作为数字电子技术、模拟电子技术中最常用的基本参数,频率经常会被应用到各种数据的计算当中。这就导致数字频率计在电子技术领域应用广泛,其作为一种最基本的测量仪器以其测量精度高、速度快、操作简便、数字显示等特点被广泛应用。本文主要介绍制作简易数字频率计的原理、方法以及设计思路。以74LS系列常用电子集成电路为例,分析如何利用整形、计数、分频、译码电路实现对于矩形波、三角波、方波等信号的频率分析及显示。本文以作者二人小组的设计为蓝本,分享设计经验,为有制作需求及意愿的人提供施行经验。 关键字:频率计整形电路分频电路计数方式

简易数字频率计

4.2.3简易数字频率计电路设计 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。 一、设计目的 1. 了解数字频率计测量频率与测量周期的基本原理; 2. 熟练掌握数字频率计的设计与调试方法及减小测量误差的方法。 二、设计任务与要求 要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为: 1.测量范围:1HZ—9.999KHZ,闸门时间1s; 10 HZ—99.99KHZ,闸门时间0.1s; 100 HZ—999.9KHZ,闸门时间10ms; 1 KHZ—9999KHZ,闸门时间1ms; 2.显示方式:四位十进制数 3. 当被测信号的频率超出测量范围时,报警. 三、数字频率计基本原理及电路设计 所谓频率,就是周期性信号在单位时间 (1s) 内变化的次数.若在一定时间间隔T内测得这个周期性信号的重复变化次数为N,则其频率可表示为 fx=N/T 。因此,可以将信号放大整形后由计数器累计单位时间内的信号个数,然后经译码、显示输出测量结果,这是所谓的测频法。可见数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成,总体结构如图4-2-6:

图4-2-6数字频率计原理图 从原理图可知,被测信号Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。时基电路提供标准时间基准信号Ⅱ,具有固定宽度T的方波时基信号II作为闸门的一个输入端,控制闸门的开放时间,被测信号I从闸门另一端输入,被测信号频率为fx,闸门宽度T,若在闸门时间内计数器计得的脉冲个数为N,则被测信号频率fx=N/THz。可见,闸门时间T决定量程,通过闸门时基选择开关选择,选择T大一些,测量准确度就高一些,T小一些,则测量准确度就低.根据被测频率选择闸门时间来控制量程.在整个电路中,时基电路是关键,闸门信号脉冲宽度是否精确直接决定了测量结果是否精确.逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生清“0”脉冲Ⅴ,使计数器每次测量从零开始计数。 1.放大整形电路 放大整形电路可以采用晶体管 3DGl00和74LS00,其中3DGl00组成放大器将输入频率为fx的周期信号如正弦波、三角波等进行放大。与非门74LS00构成施密特触发器,它对放大器的输出信号进行整形,使之成为矩形脉冲。 2.时基电路 时基电路的作用是产生标准的时间信号,可以由555组成的振荡器产生,若时间精度要求较高时,可采用晶体振荡器。由555定时器构成的时基电路包括脉冲产生电路和分频电路两部分。 (1)555多谐振荡电路产生时基脉冲 采用555产生1000HZ振荡脉冲的参考电路如图4-2-7所示。电阻参数可以由振荡频率计算公式f=1.43/((R1+2R2)*C)求得。 (2)分频电路 由于本设计中需要1s、0.1s、10ms、1ms四个闸门时间,555振荡器产生1000HZ,周期为1ms的脉冲信号,需经分频才能得到其他三个周期的闸门信号,可采用74LS90分别经过一级、二级、三级10分频得到。 图4-2-7 555多谐振荡电路 3. 逻辑控制电路 在时基信号II结束时产生的负跳变用来产生锁存信号Ⅳ,锁存信号Ⅳ的负跳变又用来产生清“0”信号V。脉冲信号Ⅳ和V可由两个单稳态触发器74LSl23产生,它们的脉冲宽度由电路的时间常数决定。触发脉冲从B端输入时,在触发脉冲的负跳变作用下,输出端Q可获得一正脉冲, Q非端可获得一负脉冲,其波形关系正好满足Ⅳ和V的要求。手动复位开关S按下时,计数器清“ 0 ”。参考电路如图4-2-8 图4-2-8数字频率计逻辑控制电路 4.锁存器 锁存器的作用是将计数器在闸门时间结束时所计得的数进行锁存,使显示器上能稳定地显示此时计数器的值.闸门时间结束时,逻辑控制电路发出锁存信号Ⅳ,将此时计数器的值送译码显示器。选用8D锁存器74LS273可以完成上述功能.当时钟脉冲CP的正跳变来到时,锁存器的输出等于输入,即Q=D。从而将计数器

数字逻辑数字频率计的设计课程设计报告

滁州学院 课程设计报告 课程名称:数字逻辑课程设计 设计题目:数字频率计的设计 系别:网络与通信工程系 专业:网络工程(无线传感器网络方向)组别:第七组 起止日期:2012年5月28日~2012年6 月18日指导教师:姚光顺 计算机与信息工程学院二○一二年制

课程设计任务书

目录 1绪论 (1) 1.1设计背景 (1) 1.2主要工作和方法 (1) 1.3本文结构 (1) 2相关知识 (1) 2.1数字频率计概念...................................................................................................................... .. (1) 2.2数字频率计组成 (1) 3系统设计 (2) 4系统实现 (2) 4.1计数译码显示电路 (2) 4.2控制电路 (3) 5系统测试与数据分析 (5) 6课程设计总结与体会 (8) 6.1设计总结 (8) 6.2设计体会 (8) 结束语 (9) 参考文献 (9) 附录 (10) 致谢 (12)

1绪论 1.1设计背景 数字频率计是一种基础测量仪器,到目前为止已有 30 多年的发展史。早期,设计师们追求的目标主要是扩展测量范围,再加上提高测量精度、稳定度等,这些也是人们衡量数字频率计的技术水平,决定数字频率计价格高低的主要依据。目前这些基本技术日臻完善,成熟。应用现代技术可以轻松地将数字频率计的测频上限扩展到微频段。 随着科学技术的发展,用户对数字频率计也提出了新的要求。对于低档产品要求使用操作方便,量程(足够)宽,可靠性高,价格低。而对于中高档产品,则要求有高分辨率,高精度,高稳定度,高测量速率;除通常通用频率计所具有的功能外,还要有数据处理功能,统计分析功能,时域分析功能等等,或者包含电压测量等其他功能。这些要求有的已经实现或者部分实现,但要真正完美的实现这些目标,对于生产厂家来说,还有许多工作要做,而不是表面看来似乎发展到头了。 随着数字集成电路技术的飞速发展,应用计数法原理制成的数字式频率测量仪器具有精度高、测量范围宽、便于实现测量过程自动化等一系列的突出特点。 1.2主要工作和方法 设计一个数字频率计。要求频率测量范围为1Hz-10kHz。数字显示位数为四位静态十进制计数显示被测信号。先确定好数字频率计的组成部分,然后分部分设计,最后组成电路。 1.3本文结构 本文第1部分前言主要说明频率计的用处和广泛性。第2部分简要说明了本次课程设计的要求。第3部分概要设计大致的勾画出本次设计的原理框架图和电路的工作流程图。第4部分简要说明4位二进制计数器74160的原理和搭建计数译码显示电路的原理,同时分析控制电路的功能,形成控制电路图,及搭建显示电路和控制电路的组合原理图。第5部分调试与操作说明,介绍相关的操作和输入不同频率是电路的显示情况。 2相关知识 2.1数字频率计介绍 2.1.1数字频率计概念 数字频率计是一种直接用十进制数字现设被测信号频率的一种测量装置,它不仅可以测量正弦波、方波、三角波等信号的频率,而且还可以用它来测量被测信号的周期。经过改装,在电路中增加传感器,还可以做成数字脉搏计、电子称、计价器等。因此,数字频率计在测量物理量方面有广泛的应用。 2.1.2数字频率计组成 数字频率计由振荡器、分频器、放大整形电路、控制电路、计数译码显示电路等部分组成。其中的控制脉冲采用时钟信号源替代,待测信号用函数信号发生器产生。数字频结构原理框图如图3.1

数字电路课程教案

课时授课计划 - 1 课号:1 (共8学时理论6学时实验0学时习题2学时) 课题:第1章绪论 1.1 概述 1.2 数制和码制 目的与要求: 了解本门课程的基本内容; 了解数字电路的特点及应用、分类及学习方法; 掌握二、八、十、十六进制的表示方法及相互转换; 知道8421BCD码、余三码、格雷码的意义及表示方法。 重点与难点: 重点:数制与码制的表示方法; 难点:二、八、十六进制的转换。 教具: 课堂讨论: 离散信号; 二、十、八、十六进制的特点及表示方法; 码的作用; 8421BCD码的特点及应用。 现代教学方法与手段: 数字电路网络课程 PowerPoint 复习(提问): 什么是模拟信号模拟电路; 什么是二进制代码。 授课班次: 课时分配:

提纲 第1章绪论 1.1 概述 1 . 1 . 1 数字信号和数字电路 1、数字信号与模似信号 2、模拟电路与数字电路 1 . 1 . 2 数字电路的分类 1、按电路类型分类 2、按集成度分类 3、按半导体的导电类型分类 1 . 1 . 3 数字电路的优点 1、易集成化 2、抗干扰能力强,可靠性高 3、便于长期存贮 4、通用性强,成本低,系列多 5、保密性好 1 .1 .4 脉冲波形的主要参数 1.脉冲幅度Um 2.脉冲上升时间 3.脉冲下降时间 4.脉冲宽度 5.脉冲周期 6.脉冲频率 7.占空比q 1.2 数制和码制 1 . 2 . 1 数制 一、十进制 二、二进制 三、八进制和十六进制 1 . 2 .2 不同数制间的转换 一、各种数制转换成十进制 二、十进制转换为二进制 三、二进制与八进制、十六进制间相互转换 1 . 2 . 3 二进制代码 一、二-十进制代码 8421码、5421码和余3码 二、可靠性代码 1.格雷码 2.奇偶校验码 作业:

数字电路课程设计报告

课程设计任务书 学生姓名:吴培力专业班级:信息SY1201 指导教师:刘可文工作单位:信息工程学院 题目: 数字式电子锁的设计与实现 初始条件: 本设计既可以使用集成电路和必要的元器件等,也可以使用单 片机系统构建数字密码电子锁。自行设计所需工作电源。电路组成 原理框图如图1,数字密码锁的实际锁体一般由电磁线圈、锁栓、 弹簧和锁柜构成。当线圈有电流时,产生磁力,吸动锁栓,即可开 锁。反之则不开锁。 图1 数字式电子锁原理框图要求完成的主要任务:(包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)课程设计中,锁体用LED代替(如“绿灯亮”表示开锁,“红灯亮”表示闭锁)。 2)其密码为4位二进制代码,密码可以通过密码设定电路自行设定。 3)开锁指令为串行输入码,当开锁密码与存储密码一致时,锁被打开。当开锁密码与存储密码不一致时,可重复进行,若连续三次未将锁打开,电路则报警并实现自锁。(报警动作为响1分钟,停10秒) 4)选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。安装调试设计电路。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、年月日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、年月日至年月日,方案选择和电路设计。 3、年月日至年月日,电路调试和设计说明书撰写。 4、年月日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

multisim简易数字频率计

. . . . 哈尔滨工业大学 简易频率计的仿真设计

目录 1.设计要求 2. 总电路图及工作原理 3.电路组成介绍 3.1脉冲形成电路 3.2闸门电路 3.3时基电路 3.4计数译码显示电路 4. 电路的测试 5. 分析与评价 附录:元器件清单 1.设计要求 本次设计任务是要求设计一个简易的数字频率计,即用数字显示被测信号频率的仪 2

器,数字频率计的设计指标有: 1. 测量信号:正弦信号、方波信号等周期变化的物理信号; 2. 测量频率范围:0Hz~9999Hz; 3. 显示方式:4位十进制数显示。 2.电路工作原理 频率计总电路图如下所示: 2

频率计的基本原理:通过将被测周期信号整形为同频率的方波信号后,利用555定时器组成的振荡电路所产生的频率为1Hz的标准方波,作为基准时钟,与被整形后的方波信号一起经过闸门电路处理输入计数电路,再利用74LS90N的十进制计数功能进行级联计数,计数后输入8位数据/地址锁存器74LS273N以实现锁存和清零功能,最后输入到译码显示电路中,用BCD7段译码器显示出来,这样就实现了对被测周期信号的频率测量并显示的功能。 频率计的工作原理流程图如下所示: 3.电路组成介绍 3.1脉冲形成电路 脉冲形成电路由信号发生器与整形电路组成,输入信号先经过限幅器,再经过施密特触发器整形,当输入信号幅度较小时,限幅器的二极管均截止,不起限副作用。由555组成的施密特触发器对经过限幅器的信号进行整形得到标准的方波信号。线路图如下所示: 2

3.2闸门电路 闸门电路的作用是控制计数器的输入脉冲,在电路中用一个与非门来实现(如下图所标注)。当标准信号(正脉冲)来到时闸门开通,被侧信号的脉冲通过闸门进入计时器计数;正脉冲结束时闸门关闭,计数器无时钟脉冲输入。 闸门电路 2

南京邮电大学课程设计报告-简易数字频率计

目录 第一章技术指标 整体功能要求 系统结构要求 电气指标 扩展指标 设计条件 第二章整体方案设计 算法设计 整体方框图及原理 第三章单元电路设计 时基电路设计 闸门电路设计 控制电路设计 小数点显示电路设计 整体电路图 整机原件清单 第四章测试与调整 时基电路的调测 显示电路的调测 4-3 计数电路的调测 控制电路的调测 整体指标测试 第五章设计小结 设计任务完成情况 问题及改进 心得体会 第一章技术指标

1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 3.电气指标 被测信号波形:正弦波、三角波和矩形波。 测量频率范围:分三档: 1Hz~999Hz ~ ~ 测量周期范围:1ms~1s。 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~的精度均为+1。 5.设计条件 电源条件:+5V。 可供选择的元器件范围如下表

门电路、阻容件、发光二极管和转换开关等原件自定。 第二章 整体方案设计 算法设计 频率是周期信号每秒钟内所含的周期数值。可根据这一定义采用如图2-1所示的算法。图2-2是根据算法构建的方框图。 被测信号

电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。测量频率的误差与闸门信号的精度直接相关,因此,为保证在1s内被测信号的周期量误差在10 3量级,则要求闸门信号的精度为10 量级。例如,当被测信号为1kHz时,在1s的闸门脉冲期间计数器将计数1000次,由于闸门脉冲精度为10 ,闸门信号的误差不大于,固由此造成的计数误差不会超过1,符合5*10 3的误差要求。进一步分析可知,当被测信号频率增高时,在闸门脉冲精度不变的情况下,计数器误差的绝对值会增大,但是相对误差仍在5*10 3范围内。 整体方框图及原理 输入电路:由于输入的信号可以是正弦波,三角波。而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。在整形之前由于不清楚被测信号的强弱的情况。所以在通过整形之前通过放大衰减处理。当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。当输入信号电压幅度较小时,前级输入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益,时被测信号得以放大。 频率测量:测量频率的原理框图如图2-3.测量频率共有3个档位。被测信号经整形后变为脉冲信号(矩形波或者方波),送入闸门电路,等待时基信号的到来。时基信号由RC振荡电路构成一个较稳定的多谐振荡器,经4093整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。 周期测量:测量周期的原理框图2-4.测量周期的方法与测量频率的方法相反,即将被测信号经整形、二分频电路后转变为方波信号。方波信号中的脉冲宽度恰好为被测信号的1个周期。将方波的脉宽作为闸门导通的时间,在闸门导通的时间里,计数器记录标准时基信号通过闸门的重复周期个数。计数器累计的结果可以换算出被测信号的周期。用时间Tx来表示:Tx=NTs 式中:Tx为被测信号的周期;N为计数器脉冲计数值;Ts为时基信号周期。

《数字电路》课程标准

精品课程 课程标准

《数字电路》课程标准 一、课程名称 数字电路 二、适用对象 三年制中职电子技术应用专业学生 三、课时 72 四、学分 4 五、课程性质 本课程是中等职业学校电子技术应用专业的一门专业基础教学与训练项目课程。其任务是:使学生掌握后续学校和工作中必须的数字电路知识,培养学生解决数字电路实际问题的能力,为学生从事相关职业岗位工作打下专业技能基础;对学生进行职业意识培养和职业道德教育,提高学生的综合素质与职业能力,增强学生适应职业变化的能力,为学生职业生涯的发展奠定基础。 六、设计思路 该课程重点是培养学生的实际分析和设计能力,着重对学生分析问题能力的塑造。课程实施的主要依据是根据后续工作和学习来进行教学过程设计;“以职业能力为重点”进行教学目标确定。其总体设计思路是让学生在实验过程中推导新知识,并构建相关理论知识,发展职业能力。课程内容突出对学生基础能力的训练,以巩固和强化为主。

七、课程目标 本课程培养学生对于数字电路的基本理论和基本知识的掌握;理解组合逻辑电路的基本原理和电路的设计;掌握简单组合逻辑电路、集成逻辑门电路、触发器、时序逻辑电路、脉冲波形的产生电路。在分析设计过程中,可以对电路仿真,同时可培养学生的实用技能软件使用能力,电工焊接等技能,提高学生的理论和实践能力,为以后的实验、实训课程打下坚实的基础。 根据课程性质和任务,本课程突出以下知识和态度的培养: 1.知识目标 (1)掌握数制的相互转换和常用编码; (2)熟悉基本的逻辑门电路和集成逻辑门电路的应用; (3)熟悉组合逻辑电路的应用; (4)掌握基本RS触发器和常用集成触发器的应用和工作特点; (5)熟悉计数器和寄存器的结构,工作特点和应用; (6)熟悉555集成块的机构特点和工作过程,了解施密特触发器、多谐振荡器、单稳态触发器的特点。 (7)能够根据要求对数字应用电路进行设计和软件仿真。 2.素质目标 (1)严格遵守行业职业道德; (2)具有艰苦奋斗,自主创业、开拓创新精神; (3)掌握数字电子技术基础知识; (4)具有较强的学习能力、信息处理能力和应变能力; (5)树立良好的安全文明生产意识和爱护设备设施的责任意识; (6)培养学生爱岗敬业,认真负责,精益求精的职业道德情操; (7)具有发现问题、分析问题和归纳总结问题的能力,运用各种多媒体进行自学,发现和获取新知识的能力,能针对具体情况提出独到的见解。

数字电路课程设计弹道设计

淮海工学院 课程设计报告书 课程名称:电子技术课程设计(二)题目:弹道计时器设计 系(院): ////// 学期: 2010-2011-1 专业班级: 88 姓名: 999999 学号: 555555

一、所选课题: 弹道计时器的设计 二、任务与要求 设计一个用来测量手枪子弹等发射物速度的便携式电池供电计时器,这种计时器可用来测定子弹或其他发射物的速度。竞赛射手通常用这种设备来测定装备的性能。 基本操作要求是:射手在两个分别产生起始测量脉冲和终止测量脉冲的光敏传感器上方射出一个发射物,两个光传感器(本例中假定为阴影传感器)分开放置,两者之间的距离已知。发射物在两个传感器之间的飞行时间直接与发射物的速度成正比。如下图所示,当子弹等发射物从上方经过起始传感器产生ST 信号,经过终止传感器时产生SP 信号。传感器之间的距离是固定的。通过测量子弹等发射物经过传感器之间的时间T 就可计算出子弹的速度V=S/t 。 图1 三、方案制定 使用中规模集成电路设计弹道计时器。此方案中主要用到555定时器、十进制计数器、译码器、七段数码管以及一些小型门电路和触发器等。 四、弹道计时器的原理 运用中规模集成电路设计本课题要分为一下几点: (1)传感器对计数器的控制。 在传感器的选择上,要注意传感器的输出信号能否直接控制下一级电路。此论文中采用天幕靶控制计数器的工作与停止。天幕靶是一种光电传感器,它能将光信号转变成电信号,在子弹遮蔽第一个天幕靶时,即会产生一个脉冲,此脉冲带动计数器工作,在子弹遮蔽下一个天幕靶时又产生一个脉冲,让计数器停止工作。若将此脉冲作为使能信号, 就必须使其从子弹到达第一个天幕靶一直维持到 起始传感器 终止传感器 阳光 弹道

数电课程设计报告-数字频率计

数电课程设计报告:频率计 目录 一、设计指标 二、系统概述 1.设计思想 2.可行性论证 3.工作过程 三、单元电路设计与分析 1.器件选择 2.设计及工作原理分析 四、电路的组构与调试 1.遇到的问题 2.现象记录及原因分析 3.解决与结果 4.功能的测试方法、步骤、设备、记录的数据 五、总结 1.体会 2.电路总图 六、参考文献 一、设计指标 设计指标:要求设计一个测量TTL方波信号频率的数字系统。测试值采用4个LED

七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。频率的测量范围有四档量程。 1)测量结果显示四位有效数字,测量精度为万分之一。 2)频率测量范围:100.1Hz——999.9kHz,分为: 第一档:100.0Hz——999.9Hz 第二档:1.000kHz——9.999kHz 第三档:10.00kHz——99.99kHz 第四档:100.0kHz——999.9kHz 3)量程切换可以采用两个按键SWB、SWA手动切换。 扩展要求: 一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。 二、自动切换量程 提示: 1.计数器计到9999时,产生溢出信号CO,启动量程加档。 2.显示不足4位有效数字时量程减档。 三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。

二、系统概述 1.设计思想 周期性信号频率可通过记录信号在1s内的周期数来确定其频率。 累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts 测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。 采样定时、显示锁存、计数器清零的控制时序波形图

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

简易数字频率计

宁波工程学院 电子信息工程学院 课程设计报告 课程设计题目:简易数字频率计 起讫时间:2011年05月23日至2011年06月03日

目录第一章技术指标 1.1整体功能要求 1.2电气指标 1.3扩展指标 1.4设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图 2.3 计数原理 第三章单元电路设计 3.1 波形变换电路 3.2 闸门电路设计 3.3小数点显示电路设计 第四章测试与调整 4.1 硬件测试与调整 4.2 软件测试与调整 4.3 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进 5.3心得体会 附录

第一章技术指标 1.1整体功能要求 设计并制作一台数字显示的简易频率计,主要用于测量正弦波、方波等周期 信号的频率值。 1.2 电气指标 1.2.1 信号波形:方波; 1.2.2 信号幅度;TTL电平; 1.2.3 信号频率:100Hz~9999Hz; 1.2.4 测量误差:≤1%; 1.2.5 测量时间:≤1s/次,连续测量; 1.2.6 显示:4位有效数字,可用数码管,LED或LCD显示。 1.3扩展指标 1.3.1 可以测量正弦波信号的频率,电压峰-峰值VPP=0.1~5V; 1.3.2 方波测量时频率测量上限为3MHz,测量误差≤1%; 1.3.3 正弦(Vopp=0.1V~5V)测量时频率测量上限为3MHz,测量误差≤1%; 1.3.4量程自动切换,且自动切换为四位有效数字输出; 1.4设计条件 1.4.1 电源条件:+5V。 1.4.2开发平台:本系统以高速SOC单片机C8051F360和FPGAEP2C8T144为 核心,主要包括9个模块,其主要配置见表1-1。 表1-1数字电子系统设计实验平台模块一览 型号名称主要配置 MCU模块SOC单片机8051F360,CPLD芯片EMP3064TC44 74151 FPGA模块EMP3064TC44,串行配置芯片,JTAG和AS配置 接口 74153 LCD和键盘模块12864中文液晶,16个按键 7404 8位高速A/D模块30MHz8位A/D转换器ADS930,信号调理电路4518 10位高速D/A模块双路100MHz10位D/A转换器THS5651,差分放 大电路,反相器

数字电路课程设计报告

摘要 数字电路八路花样灯控制电路是利用数字逻辑电子元件连接而成,具有8个受控制的输出端,通过输出8个有规律的信号以达到控制8个LED灯的目的。选择不同的芯片和不同的连接方式都会产生不同的控制信号并产生不同的花样灯。 本次课题设计要求8个LED灯最少要实现16种具有一定规律的花样灯。其实现方法有两种:第一种是最常见的,即由数字逻辑电路元件组成控制电路;第二种则是利用51单片机,通过编程控制输出电路。由于实验室不能提供单片机并且本学期数电课程与单片机关系不大,因此本次设计决定弃用第二种方法,使用第一种方法。最终实现方案是:利用555时钟芯片产时钟脉冲,一片74LS161产生分频脉冲,两片74LS161用于计数,最后用两片74LS194寄存器实现右移。

一、课题要求 1、设计目的 ⑴巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知 识的能力。 ⑵培养根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的 自学能力。 ⑶通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组 装、调试和检测等环节,初步掌握简单实用电路的分析方法和工程设 计方法。 ⑷学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力 和进行数字电子电路实验的基本技能。 2、设计课题及其技术要求 ⑴基本功能 ●有一个时钟电路。

●有八个LED发光二极管输出电路。 ●至少16种变化的花样控制。 ⑵增加功能: ●64种以上变化的花样控制。 3、给定条件及元器件 ●要求电路主要选用中规模TTL集成电路74系列。(不能用专用集成 电路)。 ●本设计要求在数字电路实验箱上完成。 ●电源电压为5V。 二、方案论证 经过分析,要实现以上功能并符合课题设计的要求一共有两种方案可供选择,其主要差别是使用的芯片不同,以下是两种方案的简要介绍。 方案一: 电路使用的芯片有:555时钟芯片1个、74LS161芯片3个、74LS194芯片2个、74LS32芯片1个。

数字电路课程设计

数字电路课程设计 姓名:李志波 专业:电子信息工程 年级:2012级

数字闹钟计时器 一.实验目的 1.通过这个实验进一步了解掌握各种功能芯片的功能,并能够在电路系统中正确应用。 2.强化巩固专业课课程内容,学会对电路的系统分析。 3.初步了解基础的电路设计思路和方法,锻炼自己的动手能力,巩固电子焊接技术。 二.实验原理 1.显示译码器 74LS248(74LS48)是BCD码到七段码的显示译码器,它可以直接驱动共阴极数码管。它的引脚图及功能如下: (a)要求输入数字0~15时“灭灯输入端”BI必须开路或保持高电平,如果不要灭十进制的0,则“动态灭灯 输入”RBI必须开路或者为高电平。 (b)当灭灯输入端BI接低电平时,不管其他输入端为何种电平,所有各端输出均为低电平。 (c)BI/RBO是线与关系,既是“灭灯输入端”BI又是“动态灭灯输出端”RBO。 2.数码显示器 LC5011-11就是一种共阴极数码显示器,它的管脚图如图1,X为共阴极,DP为小数点。其内部是八段发光二极管的负极连在一起的电路。当在a.b.c.d.e.f.g.DP加上正向电压时,各段

二极管就会被点亮,例如,利用74LS48和数码管组合成的显 示译码电路。 ABCD 四个引脚接上一级输出 LT,RBO/BI ,RBI 接高电平,或悬空。 3,十进制集成计数电路74LS90 74LS90时异步二-五-十进制计数器。其管脚图如图 U1 74LS90D Q A 12Q B 9Q D 11 Q C 8I N B 1 R 916 R 927R 012I N A 14R 02 3 G N D 10 V C C 5它的内部由两个计数电路组成,一个为二 进制,计数电路,计数脉冲输入端为CP1,输出端为QA QB QC QD.这两个计数器可独立使用,当QA 连到CP2时,可构成十进制计数器。 它具有复零输入端ROA,ROB 和复9输入端R9A R9B 。如果复零输入端ROA,ROB 皆为高电平时,计数器复零;如果复9输入端R9A,R9B 皆为高电平时,计数器复9。计数时ROA,ROB 其中之一接高电平或者二者都接高电平,并要求复9输入端R9A,R9B 其一接低电平或者同时接低电平。用74LS90接成的24 进 制 计 数 器 电 路 如 图

EDA课程设计,数字频率计

目录 前言 (1) 1. 总体设计方案 (2) 1.1总体设计方案 (2) 2. 单元模块设计 (2) 2.1十进制计数器设计 (2) 2.1.1 十进制计数器原件cnt10设计 (2) 2.1.2 位十进制计数器的顶层设计 (4) 2.2闸门控制模块EDA设计 (5) 2.2.1 定时信号模块Timer (5) 2.2.2 控制信号发生器模块T_con (6) 2.3译码显示模块 (7) 2.3.1 显示寄存器设计 (7) 2.3.2 译码扫描显示电路 (8) 2.3.3 译码显示模块的顶层电路设计 (11) 3. 软件测试 (12) 3.1测试的环境 (12) 3.2调试和器件编程 (13) 4. 设计总结 (14) 5. 参考文献 (14)

前言 在电子技术高度发展的今天,各种电子产品层出不穷,而频率作为设计的最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程的自动化等优点。 数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器,它的基本功能是测量正弦信号、方波信号、尖脉冲信号以及其它各种单位时间变化的物理量。当今国外厂家生产的数字频率计在功能和性能方面都比较优良,而且还在不断发展中,但其结构比较复杂,价位也比较高,在测量精准度要求比较低的测量场合,使用这些数字频率计就不够经济合算。我所设计的这款数字频率计能够可靠实现频率显示功能,原理及结构也比较简单本次所做的课程设计就是一个数字频率计,能测量1HZ~9999HZ的矩形波信号,并正确地显示所测信号的频率值。 数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。随着现场可编程门阵列FPGA 的广泛应用,以EDA工具作为开发手段,运用VHDL等硬件描述语言语言,将使整个系统大大简化,提高了系统的整体性能和可靠性。 采用FPGA现场可编程门阵列为控制核心,通过硬件描述语言VHDL编程,在Quartus‖仿真平台上编译、仿真、调试,并下载到FPGA芯片上,通过严格的测试后,能够较准确地测量各种常用的波形信号的频率,而且还能对其他多种物理量进行测量。

相关文档
最新文档