HC-RS04超声波模块5V转3.3V使用电路图

HC-RS04超声波模块5V转3.3V使用电路图
HC-RS04超声波模块5V转3.3V使用电路图

说明:在使用HC-SR04超声波传感器时,如果单片机兼容的电平为非5V电平,如3.3V 的MSP430和STM32时,传感器使用就会存在电平匹配问题。

HC-SR04超声波实物图

本人最近使用用STM32和该传感器通讯时,也遇到了同样问题,解决办法如下:

对于触发信号输入(Trig)引脚,单片机要用3.3V电平驱动该引脚必须进行3.3V到5V 电平转换,如下图:

HC-RS04模块与单片机电平转换接口原理图

注意:在使用时候,Trig1与Trig电平相反,即在触发超声波模块时候,应该给先拉高PA0引脚电平,然后给其10us以上的低电平,从而使Trig脚输出相应时间的5V高电平来触发模块。接收时候,由于Echo引脚开始为低电平,C8550的PNP管开通,因此Echo1引脚为低电平,在编程时候应该注意配置为下降沿中断触发。

PS:上图中电路经本人测试在可用,NPN和PNP管根据各人要求可以以其他型号通用管替换。

相关主题
相关文档
最新文档