电子系统设计 实验报告

合集下载

电子系统设计实验报告

电子系统设计实验报告

基于单片机和FPGA的等精度频率计一、设计任务工作频率通信系统极为重要的参数,频率测量是通信系统基本的参数测试之一。

本设计的主要任务是使用单片机与 EDA 技术设计制作一个简易的等精度频率测试仪,可对输入周期信号的频率进行测量、显示。

被测信号的频率范围和测试的精度要求见相应的设计任务书。

二、设计框图图 1 硬件系统原理框图等精度频率计的主系统硬件框图如图1 示,主要由以下几部分组成:(1)信号整形电路:用于对于放大信号进行放大和整流,以作为PLD 器件的输入信号(2)测频电路:是测频的核心电路模块,由FPGA 或CPLD 器件组成。

(3)单片机电路模块:用于控制FPGA 的测频操作和读取测频数据,并做出相应处理。

(4)数码显示模块:用8 个数码管显示测试结果,考虑到提高单片机I/O 口的利用率,降低编程复杂性,提高单片机的计算速度以及降低数码显示器对主系统的干扰,可以采用串行静态显示方式。

三、测频原理分析3.1 等精度频率测试的原理频率是一个基本的物理量,其它的物理量可以转换为频率进行测量。

测试频率的基本方法包括直接测频和测周法。

其中直接测频法是产生一个标准宽度(例如1s)的时基信号,然后在这个信号时间范围内打开闸门对被测频率信号进行计数。

此方法的弱点之一是高精度的标准时基信号不容易获得;其二,这种方法对于高频信号的测量精度比较有保证,但是对于低频信号由于计数周期有限测试精度较低。

测周法是用被测信号作为闸门信号、对标准脉冲信号进行计数,显然这种方法适合测量低频信号的频率。

等精度测频法的核心思想是用两个计数器分别对标准和被测脉冲进行计数,计数的时间严格同步于被测脉冲。

这种方法的最大优点是测试的精度和被测信号的频率无关,因而可以做到等精度测量。

其测试原理如图2 示。

预置闸门信号是测试命令,即测频的使能信号,该信号为高电平的期间进行测频。

但是当预置闸门信号为高电平时,测频并不是立即开始,而是要等到被测信号的上升沿到来以后,实际闸门信号跳为高电平,测频才真正开始。

esl设计流程及建模实验报告

esl设计流程及建模实验报告

esl设计流程及建模实验报告一、引言ESL(Electronic System Level)是一种基于高层次抽象的电子系统设计方法,它能够在设计阶段提供更快速、更准确的模拟和验证,从而降低了产品开发周期和成本。

本文将介绍ESL设计流程及建模实验报告。

二、ESL设计流程1.需求分析在ESL设计流程中,首先需要进行需求分析。

这一步骤是确定电子系统所需的功能和性能指标,并将其转化为可量化的要求。

通过需求分析,可以明确产品开发目标和方向,为后续设计工作奠定基础。

2.建立模型建立模型是ESL设计流程中最关键的环节之一。

在这一步骤中,需要根据需求分析结果选择适合的建模语言和工具,并根据实际情况进行调整。

常用的建模语言包括SystemC、VHDL-AMS等。

3.仿真验证在完成模型建立后,需要进行仿真验证。

通过仿真验证可以检验电子系统是否符合要求,并发现可能存在的问题。

如果出现问题,则需要对模型进行修改并重新仿真验证。

4.优化调整优化调整是ESL设计流程中不可或缺的环节之一。

通过调整电路结构、参数设置等方式,可以优化电子系统的性能指标,提高产品质量和竞争力。

5.输出设计文件最后一步是输出设计文件。

在这一步骤中,需要将模型、仿真结果、优化调整记录等信息整合到设计文件中,并进行归档保存。

设计文件是产品开发过程中不可或缺的重要资料,也是产品交付的必备文档。

三、建模实验本文以SystemC语言为例,介绍了ESL建模实验过程。

1.实验环境搭建首先需要安装SystemC库和仿真工具。

SystemC库可以从官网下载,并根据安装说明进行安装;仿真工具可以选择常用的VCS、ModelSim等软件。

2.建立模型在完成实验环境搭建后,需要根据需求分析结果进行模型建立。

以一个简单的加法器为例,代码如下:```#include "systemc.h"SC_MODULE(add) {sc_in<int> a, b;sc_out<int> c;void adder() {c.write(a.read() + b.read());}SC_CTOR(add) {SC_METHOD(adder);sensitive << a << b;}};int sc_main(int argc, char* argv[]) { sc_signal<int> a, b, c;add adder("adder");adder.a(a);adder.b(b);adder.c(c);a.write(1);b.write(2);sc_start();cout << "a + b = " << c.read() << endl;return 0;}```在这个模型中,使用了SystemC语言中的信号、模块、敏感性列表等基本元素,实现了两个整数相加的功能。

电子系统设计实验报告

电子系统设计实验报告

实验报告格式要求二.实验目的、任务和要求:本实验要求设计SCI串行接口芯片, 其功能包括串行及并行数据的接收和互相转换。

三.实验系统结构设计分析1.模块划分思想和方法:该芯片需根据功能分为串并转换电路和并串转换电路两部分。

实现串并转换的关键器件就是移位寄存器, 其功能可以使串行输入的数据先寄存到一个位矢量中, 等到一组数据全部输入完毕后再一起处理, 并行输出。

而实现并串转换的关键器件是锁存器, 它可以将并行输入的数据先锁存起来, 再一位一位的转化成串行数据。

计数器在这一芯片中也起到了重要作用, 因为计数器可以产生时间脉冲的分频, 用于配合时间脉冲控制各器件的工作。

2.各模块引脚定义和作用.串并电路:输入: rxd读入数据, clk系统时钟, reset计数器复位端, rd读入控制四进制计数器:C4四分频十进制计数器:Count_10计数分量, C10四十分频(c4的十分频)移位寄存器:Read读入数据, d0~d9并行输出(d0起始端, d1~d8数据端, d9校验位(本实验中不起作用))锁存器:K0~k7数据位状态发生器:RdST读入状态(0为读入, 1为寄存器已满)四.实验代码设计以及分析:1.给出模块层次图;2.按模块完成的代码及注释.USE IEEE.STD_LOGIC_1164.ALL;ENTITY SCI ISPORT(cs,rxd,clk,SCIrd,reset,SCIwr,in7,in6,in5,in4,in3,in2,in1,in0: IN STD_LOGIC;rdFULL,tdEMPTY,c4:buffer std_logic;e7,e6,e5,e4,e3,e2,e1,e0,wxd:OUT STD_LOGIC);END SCI;ARCHITECTURE WORK OF SCI ISSIGNAL wr,rd,read,c10,d9,d8,d7,d6,d5,d4,d3,d2,d1,d0,k7,k6,k5,k4,k3,k2,k1,k0,mid: STD_ULOGIC;SIGNAL wri : STD_LOGIC_vector(7 downto 0);SIGNAL count_10 ,counter_8:std_logic_vector(3 downto 0);BEGINPROCESS(cs)BEGINrd<=cs OR SCIrd;wr<=cs OR SCIwr;END PROCESS;//注释: 片选输入, cs=1时, 串入并出为“写”, 并入串出为“读”;cs=0时, 串入并出为“读”, 并入串出为“写”;PROCESS(rxd)BEGINread<=rxd;END PROCESS;PROCESS(clk)VARIABLE count_4 : STD_LOGIC_VECTOR(1 DOWNTO 0);BEGINIF(clk'EVENT AND clk='1')THENIF(count_4="00")THENcount_4 := "01";c4 <= '1';ELSIF(count_4="01")THENcount_4 := "11";c4 <= '1';ELSIF(count_4="11")THENcount_4 := "10";c4 <= '0';ELSIF(count_4="10")THENcount_4 := "00";c4 <= '0';END IF;END IF;END PROCESS;PROCESS(c4)BEGINIF(c4'EVENT AND c4='1')THENIF(rd='1')THENd0<=read;d1<=d0;d2<=d1;d3<=d2;d4<=d3;d5<=d4;d6<=d5;d7<=d6;d8<=d7;d9<=d8;END IF;END IF;END PROCESS;PROCESS(c4,reset,rd)BEGINIF(reset='0' OR rd='0')THEN count_10<="0000";c10 <= '0';ELSIF(c4'EVENT AND c4='1')THENIF(count_10="0000" AND rxd='1' AND rdFULL='0')THEN count_10 <= "0001";c10 <= '0';ELSIF(count_10="0001")THENcount_10 <= "0010";c10 <= '0';ELSIF(count_10="0010")THENcount_10 <= "0011";c10 <= '0';ELSIF(count_10="0011")THENcount_10 <= "0100";c10 <= '0';ELSIF(count_10="0100")THENcount_10 <= "0101";c10 <= '0';ELSIF(count_10="0101")THENcount_10 <= "0110";c10 <= '0';ELSIF(count_10="0110")THENcount_10 <= "0111";c10 <= '0';ELSIF(count_10="0111")THENcount_10 <= "1000";c10 <= '0';ELSIF(count_10="1000")THENcount_10 <= "1001";c10 <= '0';ELSIF(count_10="1001")THENcount_10 <= "1010";c10 <= '0';ELSIF(count_10="1010")THENcount_10 <= "1011";c10 <= '1'; END IF;END IF;END PROCESS;PROCESS(c10)BEGINIF(c10'EVENT AND c10='1')THENk7<=d8;k6<=d7;k5<=d6;k4<=d5;k3<=d4;k2<=d3;k1<=d2;k0<=d1;END IF;END PROCESS;PROCESS(rd)BEGINIF(rd='0')THENe7<=k7;e6<=k6;e5<=k5;e4<=k4;e3<=k3;e2<=k2;e1<=k1;e0<=k0;END IF;END PROCESS;PROCESS(rd,c10)BEGINIF(rd='0')THEN rdFULL<='0';ELSIF(c10='1')THENrdFULL<='1';ELSE rdFULL<='0';END IF;END PROCESS;process(wr)beginif(wr='0')thenwri(0)<=in0;wri(1)<=in1;wri(2)<=in2;wri(3)<=in3;wri(4)<=in4;wri(5)<=in5;wri(6)<=in6;wri(7)<=in7;end if;end process;process(c4)beginif(c4'event and c4='1')thenif(wr='0')thencounter_8<="0000";elsif(wr='1' and counter_8="0000")then counter_8<="0001"; elsif(counter_8="0001")then counter_8<="0010";elsif(counter_8="0010")then counter_8<="0011";elsif(counter_8="0011")then counter_8<="0100";elsif(counter_8="0100")then counter_8<="0101"; elsif(counter_8="0101")then counter_8<="0110";elsif(counter_8="0110")then counter_8<="0111";elsif(counter_8="0111")then counter_8<="1000";elsif(counter_8="1000")then counter_8<="1001";end if;end if;end process;process(wr,counter_8)beginif(wr='1' and counter_8="1001")thenmid<='1';tdEMPTY<='1';elsif(wr='0')thenmid<='0';tdEMPTY<='0';end if;end process;process(counter_8)beginif(wr='0' or mid='1')thenwxd<='0';elsif(wr='1' and mid='0')thenif(counter_8="0001")thenwxd<=wri(0);elsif(counter_8="0010")thenwxd<=wri(1);elsif(counter_8="0011")thenwxd<=wri(2);elsif(counter_8="0100")thenwxd<=wri(3);elsif(counter_8="0101")thenwxd<=wri(4);elsif(counter_8="0110")thenwxd<=wri(5);elsif(counter_8="0111")thenwxd<=wri(6);elsif(counter_8="1000" )thenwxd<=wri(7);end if;end if;end process;END WORK;五.仿真图(输入输出波形)以及分析:六.实验问题分析和经验总结:在该实验的设计中, 我们发现时序逻辑中最重要的部分就是时间信号对各进程的控制, 因为为了保持各进程在时间上的同步性和正确性, 需要用一个或几个相关联的时间信号来控制各进程。

eda实验报告

eda实验报告

eda实验报告
1. 实验目的
通过本次实验,了解EDA(Electronic Design Automation)的基本概念和应用模式,并通过实际操作掌握EDA工具的使用方法和流程。

2. 实验原理
EDA是电子设计自动化的缩写,是指通过计算机技术来实现电子系统设计的各个环节的自动化。

常用的EDA工具有电路仿真、电路布局、原理图设计、印刷电路板设计等。

3. 实验步骤
3.1 电路仿真
首先,我们需打开EDA工具,并导入所需的仿真器和电路元件库。

其次,我们需绘制电路图并进行仿真,根据仿真结果进一步分析和改进电路设计。

3.2 电路布局
在电路设计完成后,我们需进行电路布局,以便更精确地计算
电路性能和参数。

在布局过程中,我们需根据电路设计需求进行
元件排布,并考虑布局紧凑性和功耗等因素。

3.3 原理图设计
电路图设计是EDA工具中非常重要的一个环节,它可以帮助
我们全面了解电路设计的各个细节,确定电路元件的类型和参数,以及进一步优化电路性能。

3.4 印刷电路板设计
在进行电路仿真、布局、原理图设计后,我们需将电路设计转
化为印刷电路板(PCB)的形式。

在进行印刷电路板设计前,我
们需考虑各个细节,在选择印刷方式、器件布局、线路距离、阻
抗匹配等方面进行优化和调整。

4. 实验结论
通过本次实验,我深刻认识到EDA工具在电子设计中的应用
和重要性,并掌握了EDA工具的基本操作方法和流程。

此外,我
了解了EDA工具在电子设计和生产中的优势和局限性,对于今后
电子设计工作的开展和优化有很大的指导意义。

电子线路设计与制作实验报告

电子线路设计与制作实验报告

电子线路设计与制作实验报告班级:电信10302班指导老师: XXX 小组成员: XXX(XXXXXXXX)XXX(XXXXXXXX)2012年11月6日项目一:红外线电路设计一、电路工作原理常用的红外线遥控系统一般分发射和接收两个部分。

发射部分的主要元件为红外发光二极管。

它实际上是一直特殊的发光二极管,由于其内部材料不同于普通发光二极管,因而在其两端施加一定电压时,它便发出的红外线而不会死可见光。

接收部分的红外接收管是一种光敏二极管。

在实际应用中要给红外线接收二极管加反向偏压,它才能正常工作,亦即红外线接收二极管在电路中应用时是反向运用,这样才能获得较高的灵敏度。

红外线二极管一般有圆形和方形两种。

二、电路原理图设计元件清单表三、电路设计与调试(1)各小组从指导老师那里领取元器件,分工检测元器件的性能。

(2)依据电路原理图,各小组讨论如何布局,最后确定一最佳方案在洞洞板上搭建红外线发射\接收电路图。

(3)检查电路无误后,从信号发生器送入适应电压。

(4)调节可调电阻R3的阻值,观察发光二极管LED是否出现闪烁现象,如果出现说明有发射和接收,如果没有检查电路。

(5)实验完毕,记录结果,并写实验报告。

四、实验注意事项(1)发光二极管的电流不能天大(小于200mA);(2)在通电前必须检查电路无误后才可;(3)信号发生器的输出电压峰峰值1.5~2.5V。

项目二:定时电路的设计一、电路原理图与工作原理555组成的调谐振荡器可以用作各种时钟脉冲发生器,上图电路为占空比可调的时钟脉冲发生器。

其介入两只二极管D1、D2后,电容C的充放电回路分开,放电回路为D2、RB、内部三极管T及电容C。

二、电路设计与调试(1)各小组从指导老师哪里领取元器件,分工检测元器件的性能。

(2)依据电路图,各小组讨论如何布局,最后确定最佳方案在洞洞板上搭建电路图;(3)检查电路无误后,从直流稳压电源送入5伏的电压;(4)记录结果,并写实验报告。

电子课程实验报告总结(3篇)

电子课程实验报告总结(3篇)

第1篇一、实验背景随着现代教育技术的发展,电子课程作为一种新型的教学模式,在我国得到了广泛的应用。

本实验旨在通过电子课程的学习,使学生掌握电子技术的基本原理和实践技能,提高学生的动手能力和创新意识。

本次实验课程主要包括数字电路、模拟电路、单片机应用技术等内容。

二、实验目的1. 理解电子技术的基本概念和原理;2. 掌握电子电路的组成和基本分析方法;3. 熟悉常用电子元器件的性能和选用方法;4. 提高动手能力和创新意识,培养团队协作精神。

三、实验内容1. 数字电路实验- 逻辑门电路实验:验证逻辑门电路的功能和特性;- 组合逻辑电路实验:设计简单的组合逻辑电路,如编码器、译码器、加法器等;- 时序逻辑电路实验:设计简单的时序逻辑电路,如计数器、寄存器等。

2. 模拟电路实验- 基本放大电路实验:研究放大电路的性能和特性;- 运算放大器电路实验:设计运算放大器电路,实现放大、滤波、整流等功能;- 模拟信号处理实验:研究模拟信号的处理方法,如放大、滤波、调制等。

3. 单片机应用技术实验- 单片机基本原理实验:了解单片机的结构、工作原理和编程方法;- 单片机接口技术实验:学习单片机与外围设备(如键盘、显示器、传感器等)的接口技术;- 单片机控制实验:设计简单的控制系统,如温度控制、光照控制等。

四、实验过程1. 准备阶段- 熟悉实验设备、工具和元器件;- 理解实验原理和步骤;- 制定实验方案。

2. 实施阶段- 按照实验步骤进行操作,观察实验现象;- 记录实验数据,分析实验结果;- 对实验中出现的问题进行讨论和解决。

3. 总结阶段- 分析实验数据,得出实验结论;- 总结实验过程中的经验教训;- 撰写实验报告。

五、实验结果与分析1. 数字电路实验- 通过实验验证了逻辑门电路的功能和特性;- 设计的简单组合逻辑电路能够实现预期的功能;- 时序逻辑电路设计合理,能够满足实际应用需求。

2. 模拟电路实验- 基本放大电路性能稳定,能够实现预期的放大效果;- 运算放大器电路设计合理,能够实现多种功能;- 模拟信号处理实验效果良好,达到了预期目标。

走马灯实验报告

走马灯实验报告

走马灯实验报告1、实验目的1、学会DP-51PRO实验仪监控程序下载、动态调试等联机调试功能的使用;2、理解和学会单片机并口的作为通用I/O的使用;3、理解和学会单片机外部中断的使用;4、了解单片机定时器/计数器的应用。

2、实验设备PC 机、ARM 仿真器、2440 实验箱、串口线。

3、实验内容熟悉A RM 开发环境的建立。

使用A RM 汇编和C语言设置G PIO 口的相应寄存器。

编写跑马灯程序。

5、实验原理走马灯实验是一个硬件实验,因此要求使用DP-51PRO 单片机综合仿真实验仪进行硬件仿真,首先要求先进行软件仿真,排除软件语法错误,保证关键程序段的正确。

然后连接仿真仪,下载监控程序,进行主机与实验箱联机仿真。

为了使单独编译的C语言程序和汇编程序之间能够相互调用,必须为子程序间的调用规定一定的规则。

ATPCS ,即ARM ,Thumb 过程调用标准(ARM/Thumb Procedure Call Standard),是A RM 程序和T humb 程序中子程序调用的基本规则,它规定了一些子程序间调用的基本规则,如子程序调用过程中的寄存器的使用规则,堆栈的使用规则,参数的传递规则等。

下面结合实际介绍几种A TPCS 规则,如果读者想了解更多的规则,可以查看相关的书籍。

1.基本A TPCS基本A TPCS 规定了在子程序调用时的一些基本规则,包括下面3方面的内容:(1)各寄存器的使用规则及其相应的名称。

(2)数据栈的使用规则。

(3)参数传递的规则。

相对于其它类型的A TPCS,满足基本A TPCS 的程序的执行速度更快,所占用的内存更少。

但是它不能提供以下的支持: ARM 程序和T humb 程序相互调用,数据以及代码的位置无关的支持,子程序的可重入性,数据栈检查的支持。

而派生的其他几种特定的A TPCS 就是在基本A TPCS 的基础上再添加其他的规则而形成的。

其目的就是提供上述的功能。

2.寄存器的使用规则寄存器的使用必须满足下面的规则:(1) 子程序间通过寄存器R0~R3 来传递参数。

电子系统综合设计实验报告

电子系统综合设计实验报告

电子系统综合设计实验报告所选课题:±15V直流双路可调电源学院:信息科学与工程学院专业班级:学号:学生姓名:指导教师:2016年06月摘要本次设计本来是要做±15V直流双路可调电源的,但由于买不到规格为±18V 的变压器,只有±15V大小的变压器,所以最后输出结果会较原本预期要小。

本设计主要采用三端稳压电路设计直流稳压电源来达到双路可调的要求。

最后实物模型的输出电压在±13左右波动。

1、任务需求⑴有+15V和-15V两路输出,误差不超过上下1.5V。

(但在本次设计中,没有所需变压器,所以只能到±12.5V)⑵在保证正常稳压的前提下,尽量减小功效。

⑶做出实物并且可调满足需求2、提出方案直流可变稳压电源一般由整流变压器,整流电路,滤波器和稳压环节组成如下图a所示。

⑴单相桥式整流作用之后的输出波形图如下:⑵电容滤波作用之后的输出波形图如下:⑶可调式三端集成稳压器是指输出电压可以连续调节的稳压器,有输出正电压的LM317三端稳压器;有输出负电压的LM337三端稳压器。

在可调式三端集成稳压器中,稳压器的三个端是指输入端、输出端和调节端。

LM317的引脚图如下图所示:(LM337的2和3引脚作用与317相反)3、详细电路图:因为大容量电解电容C1,C2有一定的绕制电感分布电感,易引起自激振荡,形成高频干扰,所以稳压器的输入、输出端常 并入瓷介质小容量电容C5,C6,C7,C8用来抵消电感效应,抑制高频干扰。

参数计算: 滤波电容计算:变压器的次级线圈电压为15V ,当输出电流为0.5A 时,我们可以求得电路的负载为I =U /R=34Ω时,我们可以根据滤波电容的计算公式: C=т/R,来求滤波电容的取值范围,其中在电路频率为50HZ 的情况下,T 为20ms 则电容的取值范围大于600uF ,保险起见我们可以取标准值为2200uF 额定电压为50V的点解电容。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

本科生实验报告实验课程电子系统设计学院名称专业名称测控技术与仪器学生姓名学生学号指导教师实验地点实验成绩二〇年月——二〇年月实验一、运放应用电路设计一、实验目的(1)了解并运用NE555定时器或者其他电路,学会脉冲发生器的设计,认识了解各元器件的作用和用法。

(2)掌握运算放大器基本应用电路设计二、实验要求(1)使用555或其他电路设计一个脉冲发生器,并能满足以下要求:产生三角波V2,其峰峰值为4V,周期为0.5ms,允许T有±5%的误差。

V2/V+2图1-1 三角波脉冲信号(2)使用一片四运放芯片LM324设计所示电路,实现如下功能:设计加法器电路,实现V3=10V1+V2,V1是正弦波信号,峰峰值0.01v,频率10kHz。

V3图1-2 加法电路原理三、实验内容1、555定时器的说明:NE555是属于555系列的计时IC的其中的一种型号,555系列IC的接脚功能及运用都是相容的,只是型号不同的因其价格不同其稳定度、省电、可产生的振荡频率也不大相同;而555是一个用途很广且相当普遍的计时IC,只需少数的电阻和电容,便可产生数位电路所需的各种不同频率的脉波讯号。

a. NE555的特点有:1.只需简单的电阻器、电容器,即可完成特定的振荡延时作用。

其延时范围极广,可由几微秒至几小时之久。

2.它的操作电源范围极大,可与TTL,CMOS等逻辑闸配合,也就是它的输出准位及输入触发准位,均能与这些逻辑系列的高、低态组合。

3.其输出端的供给电流大,可直接推动多种自动控制的负载。

4.它的计时精确度高、温度稳定度佳,且价格便宜。

b. NE555引脚位配置说明下:NE555接脚图:图1-3 555定时器引脚图Pin 1 (接地) -地线(或共同接地) ,通常被连接到电路共同接地。

Pin 2 (触发点) -这个脚位是触发NE555使其启动它的时间周期。

触发信号上缘电压须大于2/3 VCC,下缘须低于1/3 VCC 。

Pin 3 (输出) -当时间周期开始555的输出输出脚位,移至比电源电压少1.7伏的高电位。

周期的结束输出回到O伏左右的低电位。

于高电位时的最大输出电流大约200 mA 。

Pin 4 (重置) -一个低逻辑电位送至这个脚位时会重置定时器和使输出回到一个低电位。

它通常被接到正电源或忽略不用。

Pin 5 (控制) -这个接脚准许由外部电压改变触发和闸限电压。

当计时器经营在稳定或振荡的运作方式下,这输入能用来改变或调整输出频率。

Pin 6 (重置锁定) - Pin 6重置锁定并使输出呈低态。

当这个接脚的电压从1/3 VCC电压以下移至2/3 VCC以上时启动这个动作。

Pin 7 (放电) -这个接脚和主要的输出接脚有相同的电流输出能力,当输出为ON时为LOW,对地为低阻抗,当输出为OFF时为HIGH,对地为高阻抗。

Pin 8 (V +) -这是555个计时器IC的正电源电压端。

供应电压的范围是+4.5伏特(最小值)至+16伏特(最大值)。

参数功能特性:•供应电压4.5-18V•供应电流3-6 mA•输出电流225mA (max)•上升/下降时间100 nsc.NE555的相关应用:NE555的作用范围很广,但一般多应用于单稳态多谐振荡器(Monostable Mutlivibrator)及无稳态多谐振荡器(Astable Multivibrator)。

2、利用555定时器产生方波和三角波三角波信号的产生:555定时器产生方波信号后,接一个积分电路。

原理图如图所示:图1-4 三角波和方波信号的产生产生信号波形图:图1-5 脉冲信号波形图3、产生有正负电压的三角波实验原理:将产生的三角波接近运放,在运放的参考端,接上一个偏置电压,这样,当三角波的输出电压达不到偏置电压的大小,输出即为负值。

实验原理图:图1-6 产生完整的三角波信号输出波形图:图1-7 完整三角波波形图4、加法器的使用信号的叠加:要实现V3=10V1+V2,V1是正弦波信号,峰峰值0.01v,频率10kHz。

将正弦信号加在方波信号上,由于0.01v信号太微弱,所以决定用0.1v的正弦信号。

加法器:我利用的反向求和电路,所以输出V3 = (R10/R13)V1 + (R10/R12)V2 ,由图中参数,可以算出V3=10V1+V2。

原理图如图所示:图1-8 信号叠加图产生波形图如图所示:图1-9 脉冲信号叠加波形图根据图中的数据,可以知道,我们实现了V3=10V1+V2的叠加,由电压即可直观地看出。

实验二、基于微控制器的直流电机驱动电路设计与仿真一、实验目的(1)理解直流电机的驱动原理和驱动方式;(2)理解微控制器定时计数器工作原理及PWM发生;(3)掌握直流电机的驱动电路设计方法;(4)掌握直流电机的驱动软件设计方法。

二、实验要求(1)构建以51微控制器为核心的直流电机驱动电路,包括人机接口(按键和显示)、光电隔离、L298N全桥驱动器(如图1所示)。

按键包括复位键(KEY_RST)、顺时针转向键(KEY_CW)、逆时针转向键(KEY_CCW)、调速增加键(KEY_UP)、调速降低键(KEY_DOWN)、确认键(KEY_ENTER),调速步进为满量程的10%。

图2-1 电路原理图(2)联合Proteus和Keil软件平台,采用51微控制器的C语言编程,实现对1路直流电机的PWM调速控制和转向控制。

(3)建立PWM占空比与直流电机转速的相关性数学模型。

三、实验内容实验原理:(1)直流电机转速直流电机的数学模型可用图2-2表示,由图可见电机的电枢电动势Ea的正方向与电枢电流Ia的方向相反,Ea为反电动势;电磁转矩T的正方向与转速n 的方向相同,是拖动转矩;轴上的机械负载转矩T2及空载转军T0均与n相反,是制动转矩。

图2-2 直流电机的数学模型根据基尔霍夫第二定律,得到电枢电压电动势平衡方程式:U=Ea-Ia(Ra+Rc)其中,Ra为电枢回路电阻,电枢回路串联保绕阻与电刷接触电阻的总和:Rc是外接在电枢回路中的调节电阻。

由此可得到直流电机的转速公式为:n=Ua-IR/Ceφ(Ce为电动势常数,φ是磁通量。

)因此可得n=Ea/Ceφ可以看出,对于一个已经制造好的电机,当励磁电压和负载转矩恒定时,它的转速由在电枢两端的电压Ea决定,电枢电压越高,电机转速就越快,电枢电压降低到0V时,电机就停止转动:改变电枢电压的极性,电机就反转。

(2)PWM电机调速原理对于直流电机来说,如果加在电枢两端的电压为5所示的脉动电流压(要求脉动电压的周期远小于电机的惯性常数),可以看出,在T不变的情况下,改变T1和T2宽度,得到的电压将发生变化,下面对这一变化进一步推导。

图2-3 施加在电枢两端的脉动电压设电机接全电压U时,其转速最大为Vmax。

若施加到电枢两端的脉动电压占空比为D=t1/T,则电枢的平均电压为:U平=U×D可得:n=Ea/Ceφ≈U×D/Ceφ=KD在假设电枢内阻转小的情况下式中K=U/CEφ,是常数。

图2-4为施加不同占空比时实测的数据绘制所得占空比与转速的关系图。

图2-4 占空比与电机转速的关系由图看出转速与占空比D并不是完全的线性关系(途中实线),原因是电枢本身有电阻,不过一般直流电机的内阻较小,可以近视为线性关系。

由此可见,改变施加在电枢两端电压就能改变电机的转速,这就是直流电机PWM调速原理。

仿真电路图:图2-5 仿真电路图加速:图2-6 加速显示图减速:图2-7 减速显示图反转:图2-8 反转显示图驱动主程序:#include<reg51.h>#include "lcd.h"#include<intrins.h>#define uchar unsigned char#define uint unsigned intsbit INPUT1 = P2^0;sbit INPUT2 = P2^1;sbit ENA = P2^2;sbit key_zz=P3^0;sbit key_fz=P3^1;sbit key_up=P3^2;sbit key_down=P3^3;sbit key_tz=P3^4;sbit key_ok=P3^5;void time_Init();void exti_Init();void Delayms(unsigned int t);uchar num=0;unsigned int timer_count=0; unsigned int Duty_cycle=1; unsigned int set_duty=1;void main(void){time_Init();exti_Init();LcdInit();Lcd_Str(0x00,6,"STATE:");while(1){if(key_ok==0){Delayms(10);if(key_ok==0){Duty_cycle=set_duty;}}if(key_tz==0){Delayms(10);if(key_tz==0){INPUT1=0;INPUT2=0;Lcd_Str(0x40,10,"direct_tz ");}}if(key_zz==0){Delayms(10);if(key_zz==0){INPUT1=1;INPUT2=0;Lcd_Str(0x40,10,"direct_zz ");}}if(key_fz==0){Delayms(10);if(key_fz==0){INPUT1=0;INPUT2=1;Lcd_Str(0x40,10,"direct_fz");}}}}void time_Init() //定时器0配置函数,工作方式1,50ms中断一次{TMOD=0x01;EA=1;ET0 = 1;TH0 = (65536-5000)/256;TL0 = (65536-5000)%256;TR0 = 1;}void exti_Init() //外部中断{EA=1;EX0=1;EX1=1;IT0=1;IT1=1;}void timer_inter() interrupt 1{TH0 = (65536-5000)/256;TL0 = (65536-5000)%256;timer_count++;if(timer_count>10)timer_count=0; if(timer_count<=Duty_cycle){ENA=1;}else{ENA=0;}//timer_count++;}void exti0_inter() interrupt 0{if(set_duty>9)set_duty=0;elseset_duty++;LcdWriteCom(0x80+0x07);LcdWriteData('0'+((set_duty-1)%10));Lcd_Str(0x40,10,"speed_down");}void exti1_inter() interrupt 2{if(set_duty<0)set_duty=9;elseset_duty--;LcdWriteCom(0x80+0x07);LcdWriteData('0'+((set_duty-1)%10)); Lcd_Str(0x40,10,"speed_up");}void Delayms(unsigned int t){unsigned int x,y;for(x=t;x>0;x--)for(y=120;y>0;y--);}实验三、数控电流源电路系统设计与仿真一、实验目的:(1)理解数控电流源电路系统工作原理(2)理解V/I变换电路的工作原理(3)掌握数控电流源电路系统设计与仿真方法二、实验要求:(1)构建如图1所示的数控电流源电路系统,包括人机接口(按键和显示)、DAC和V/I变换电路(图2)。

相关文档
最新文档