数字电子技术

数字电子技术
数字电子技术

实验一集成门电路逻辑功能及参数测试

一、实验目的

1.熟悉集成电路的外形及外引脚排列。

1.验证TTL集成门电路的逻辑功能。

2.掌握TTL集成门电路的主要参数及测试方法。

3.熟悉数字电子技术实验箱的基本功能和使用方法。

二、实验原理

集成门电路是最简单、最基本的数字集成元件,任何复杂的组合电路和时序电路都可用逻辑门通过适当的组合而得到,因此,逻辑门是数字电路中最基本的逻辑单元。目前已有门类齐全的集成门电路,如“与门”、“或门”、“非门”、“与非门”、“异或门”等,我们应该掌握其工作原理,熟练、灵活地使用各种门电路。

(一)TTL集成逻辑门电路

TTL集成门电路由于工作速度较高、输出幅度较大、种类多、不易损坏而使用较广,特别适合学生选用进行实验论证。本书中的集成门电路大多采用TTL集成电路中的74LS系列。常用的74LS系列集成逻辑门电路型号有:74LS00,2输入端四“与非门”;74LS04,六“反相器”;74LS08,2输入端四“与门”;74LS20,4输入端二“与非门”;74LS32,2输入端四“或门”;74LS86,2输入端四“异或门”。图1-1给出74LS00、74LS04及74LS20三种芯片外引脚排列图,74LS08、74LS32、74LS86的外引脚排列与74LS00相同。74LS系列芯片外引脚排列中,电源和地一般为集成块的两端,例如14脚的集成块,则14脚为电源正(V CC),7脚为电源地(GND),其余引脚为输入和输出。

引脚的识别方法是:将集成块正对准使用者,以凹口左边或小标志点“”为起始脚1,逆时针方向向前数1,2,3,……n脚。使用时,查找IC手册即可知各引脚功能。

图1-1

(二)TTL集成逻辑门电路的主要参数及其测量

与非门是数字电路中广泛使用的一种逻辑门,本文以4输入双与非门74LS20为例,介绍其主要参数及测量方法。

1.导通电源电流I CCL与截止电源电流I CCH

与非门在不同的工作状态,电源提供的电流是不同的,I CCL是指输出端空载,所有输入端全部悬空,与非处于导通状态,电源提供器件的电流。I CCH是指输出端空载,输入端接地,与非门处于截止状态,电源提供器件的电流。测试电路如图1-2(a)、(b)所示。通常I CCL>I CCH,它们的大小标志着与非门在静态情况下的功耗大小。

导通功耗:P CCL=I CCL V CC

截止功耗:P CCH=I CCH V CC

由于I CCL较大,一般手册中给出的功耗是指P CCL

图1-2 图1-3 图1-4

2.低电平输入电流I iL与高电平输入电流I iH

I iL是指被测输入端接地,其余输入端悬空,由被测输入端流出的电流,如图1-3(a)所示,在多级门电路中它相当于前级门输出低电平时,后级门向前级门灌入的电流,因而它的大小关系到前级门的灌电流负载能力,因此希望I iL小些。

I iH是指被测输入端接高电平,其余输入端接地,流入被测输入端的电流,如图1-3(b)所示,在多级门电路中它相当于前级门输出高电平时,后级门从前级门的拉出的电流,它的大小关系到前级门的拉电流负载能力,因此希望I iH小。由于I iH较小,难以测量,所以一般实验中免于测试此项内容。

3.扇出系数N o

扇出系数是指门电路能驱动同类门的个数,是衡量门电路负载能力的一个参数,TTL与非门有两种不同性质的负载:灌电流负载和拉电流负载,因此有两种扇出系数,低电平扇出系数N oL和高电平扇出系数N oH。低电平扇出系数N oL测试电路如图1-4所示,门的输入端全部悬空,输出端接灌电流负载,调节R L 使I o增大,U o随之增高,当U OL达到手册中规定低电平规范值0.4V时的I oL就是允许灌入的最大负载电流I oL,则

N oL= I oL/I iL

N oL大小主要受输出低电平时输出端允许灌入的最大负载电流I oL的限制,如灌入的负载电流超出该值,输出低电平将显著升高,以致造成下级门电路的误动作。

高电平扇出系数N oH

通常I iH<>N oL,故常以作为门的扇出系数。

4.电压传输出特性

与非门的输出电压U o随输入电压U i而变化的曲线U o=f(U i)称为电压传输特性,如图1-5所示。它是门电路的重要特性之一,通过它可知道与非门的一些重要参数,如输出高电平U oH、输出低电平U oL、关门电平U off(U iL)、开门电平U on(U iH)、阈值电平U T及抗干扰容限U NL、U NH等。

电压传输特性的测试方法很多,最简单的方法是逐点测试法,测试电路如图1-6所示,调节电位器R W,逐点测出输入电压U i及输出电压U o绘成曲线。

图1-5 图1-6

5.平均传输延迟时间t pd

t pd是衡量门电路开关速度的参数,是指输出波形边沿0.5U m点相对于输入波形对应边沿0.5U m点的时

间延迟,如图1-7所示,门电路的导通延迟时间为t PHL ,截止延迟时间为t PLH ,则平均时间t pd =1/2(t PHL +t PLH )。

t PHL

t PLH

V o

V i

图1-7

4输入端双与非门的主要参数规范如表1-1所示

表1-1

参数名称 符号 规范值 单位 测试条件

导通电流 I CCL ≤14 mA Vcc=5.5V ,输入端空载,输出端空载。 截止电流 I CCH ≤7 mA Vcc=5.5V ,输入端接地,输出端空载。

低电平输 入电流 I iL ≤1.8 mA Vcc=5.5V ,被测输入端接地,其它输入端悬空,输

出端空载。 高电平输 入电流 I iH ≤50 μA Vcc=5.5V ,被测输入端U iH =2.4V ,其它输入端接地,

输出端空载。 输出高电平 U oH ≥2.4 V Vcc=5.5V ,被测输入端U iL =0.8V ,其它输入端悬空,输出端I oH =400μA

输出低电平 U oL ≤0.4 V Vcc=4.5V ,输入端U iH =2.0V ,输出I oL =12.8mA

扇出系数 N o ≥8 同U oH 和U oL

平均传递 延迟时间

t pd

30

ns

Vcc=5V ,输入端输入信号U in =3V ,f=2MHz ,t pd =10~15ns

三、实验设备与器件

1.数字电子技术实验箱 2.双踪示波器 3.直流电压表、毫安表 4.74LS00、74LS20、74LS04、74LS08、74LS32、74LS86各一片

四、实验内容

实验前按实验箱使用说明先检查实验箱电源是否正常,然后选择实验用的集成电路,需仔细检查集成块的标志和在实验台上的位置,按自己设计的实验接线图接好连线,特别注意V CC 及地线不能接错,线接好后实验指导教师检查无误方可通电实验。

1. 验证TTL 集成门电路的逻辑功能

对门电路进行测试时,门的输入端接电平逻辑开关输出插口,一般,开关向上为逻辑“1”,向下为逻辑“0”。门的输出端接电平指示器输入端,一般为发光二极管,发光管亮为逻辑“1”,不亮为逻辑“0”。基本测试方法是按真值表逐项测试,但有时按真值表逐项进行测试似嫌多余,实际上可以选择有代表性的几项进行测试,便可以判断门的逻辑功能是否正常。

(1)验证74LS20:取任一个与非门按图1-8连接实验电路,用逻辑开关改变输入端A 、B 、C 、D 逻辑电平,将逻辑开关按表1-2分别测输出电压及逻辑状态。

图1-8 表1-2

输入

输出

A B C D F F 电压(V )

H H H H L H H H

L L H H L L L H L

L

L

L

(2)分别验证74LS00,74LS04,74LS32的逻辑功能,自拟表格记录。

(3)异或门逻辑功能测试:选二输入四异或门电路74LS86,按图1-9接线,输入脚1、2、4、5接电平开关,输出端A 、B 、Y 接电平显示发光二极管。将电平开关按表1-3位置,将结果填入表中。

图1-9 表1-3

输入

输出

A B Y Y 电压(V )

L H H H H L

L L H H H H

L L L H H L

L L L L H H

2. 74LS20主要参数的测试 (1) 导通电源电流I CCL

按图1-2(a)接线,测试结果记入表1-4中。 (2) 截止电源电流I CCH

按图1-2(b)接线,此时应将两个与非门的所有输入端都接地,测试结果记入表1-4中。

表1-4

I CCL (mA) I CCH (mA) I iL ( A)

I oL (mA)

No=I oL /I iL

(3) 低电平输入电流I iL

按图1-3(a)接线,测试结果记入表1-4中。 (4) 扇出系数No

按图1-4接线,调节电位器R W ,使输出电压Uo=0.4V ,测量此时的I oL ,计算N oL = I oL /I iL ,记入表1-4中。

(5) 电压传输特性

按图1-6接线,调节电位器R W ,使U i 从0V 向高电平变化,逐点测量U i 和U o 的对应值,记入表1-5中。

表1-5

U i (V) 0 0.2 0.4 0.6 0.8 0.9 1.0 1.2 1.6 2.0 2.4 3.0 … U o (V)

☆用示波器观察电压传输特性曲线

测试电路如图1-6,将输入电压U i接入示波器X轴输入端,输出电压U o接Y轴输入端(Y A或Y B),调节电位器R W,在屏幕上可显现输出电压随输入电压变化光点移动轨迹,即电压传输特性曲线。(示波器触发极性开关应置外接X处)

3.逻辑门传输延迟时间的测量

用六反相器(非门)按图1-10接线,输入80KHz连续脉冲,用双踪示波器测输入,输出相位差,计算每个门的平均传输延迟时间的t pd值。

图1-10

五、实验报告

1. 记录、整理实验结果

2. 把测得的74LS20与非门各参数值与它的规范值进行比较。

3. 画出实测电压传输特性曲线,并从中读出各有关参数值。

六、预习要求

1. 复习TTL与非门有关内容。阅读TTL电路使用规则。

2. 与非门的功耗与工作频率和外接负载情况有关吗?为什么?

3. 测量扇出系数的原理是什么?为什么一个门的扇出系数仅由输出端低电平的扇出系数来决定。

4. 为什么TTL与非门的输入端悬空相当输入逻辑“1”电平。

5. TTL或非门闲置输入端如何处理?

实验二 TTL 集电极开路门与三态输出门的应用

一、实验目的

1. 掌握TTL 集电极开路门(OC 门)的逻辑功能及应用。

2. 了解集电极负载电阻RL 对集电极开路门的影响。

3. 掌握TTL 三态输出门(TSL 门)的逻辑功能及应用。

二、实验原理

数字系统中有时需要把两个或两个以上集成逻辑门的输出端直接并接在一起完成一定的逻辑功能。

对于普通的TTL 门电路。由于输出级采用了推拉式输出电路, 无论输出是高电平还是低电平, 输出阻抗都很低。因此, 通常不允许将它们的输出端并接在一起使用。

集电极开路门和三态输出门是两种特殊的TTL 门电路, 它们允许把输出端直接并接在一起使用。 1. TTL 集电极开路门(OC 门)

本实验所用OC 与非门型号为2输入四与非门74LS03, 内部逻辑图及引脚排列如图2—1(a)、(b)所示。OC 与非门的输出管V3是悬空的, 工作时, 输出端必须通过一只外接电阻RL 和电源EC 相连接, 以保证输出电压符合电路要求。

OC 门的应用主要有下述三个方面

(1) 利用电路的“线与”特性方便的完成某些特定的逻辑功能。 图2—2所示, 将两个OC 与非门输出端直接并接在一起, 则它们的输出

F=F A ·F B =21A A ·21B B =2121A A B B +

即把两个(或两个以上)OC 与非门“线与”可完成“与或非”的逻辑功能。 (2) 实现多路信息采集, 使两路以上的信息共用一个传输通道(总线)。

(3) 实现逻辑电平的转换, 以推动荧光数码管、继电路、MOS 器件等多种数字集成电路。 OC 门输出并联运用时负载电阻RL 的选择。

图2—3所示电路由n 个OC 与非门“线与”驱动有m 个输入端的N 个TTL 与非门,为保证OC 与非门输出电平符合逻辑要求, 负载电阻RL 阻值的选择范围为

式中: I OH ?? OC 门输出管截止时(输出高电平U OH )的漏电流(约50μA)

I LM ?? OC 门输出低电平U OL 时, 允许最大灌入负载电流(约20mA) I iH ?? 负载门高电平输入电流(<50μA) I iL ?? 负载门低电平输入电流(<1.6mA) Ec ?? R L 外接电源电压

n —OC 门个数

R Lmax = Ec -UoH

nIoH +mIiH

R Lmin = Ec -UoL

ILM +

NIiL

图2—1

N ?? 负载门个数

m ?? 接入电路的负载门输入端总个数

R L 值须小于R Lmax , 否则U OH 将下降, R L 值须大于R Lmin , 否则U OL 将上升, 又R L 的大小会影响输出波形的边沿时间, 在工作速度较高时, R L 应尽量选取接近R Lmin 。

除了OC 与非门外, 还有其它类型的OC 器件, R L 的选取方法也与此类同。

2. TTL 三态输出门(TSL 门)

TTL 三态输出门是一种特殊的门电路, 它与普通的TTL 门电路结构不同, 它的输出端除了通常的高电平、低电平两种状态外(这两种状态均为低阻状态), 还有第三种输出状态—高阻状态,处于高阻状态时, 电路与负载之间相当于开路。图2—4是三态输出四总线缓冲器的逻辑符号, 它有一个控制端(又称禁止端

或使能端)E ,E=0为正常工作状态, 实现Y=A 的逻辑功能; E -

=1为禁止状态, 输出Y 呈现高阻状态。这种在控制端加低电平时电路才能正常工作的工作方式称低电平使能。

三态输出门接逻辑功能及控制方式分有各种不同类型,在实验中所用三态门的型号是74LS125(三态输出四总线缓冲器), 图2—5是它的引脚排列。表2—1为其功能表。

三态电路主要用途之一是实现总线传输, 即用一个传输通道(称总线), 以选通方式传送多路信息。图2—6所示, 电路把若干个三态TTL 电路输出端直接连接在一起构成三态门总线, 使用时, 要求只有需要传

输信息的三态控制端处于使能态(E -

=0)其余各门皆处于禁止状态(E -

=1)。由于三态门输出电路结构与普通TTL 电路相同, 显然, 若同时有两个或两个以上三态门的控制处于使能态, 将出现与普通TTL 门“线与”运用时同样的问题, 因而是绝对不允许的。

表2—1

输 入

输 出

E A Y 0

0 1

0 1

1 0

高阻态

1

三、实验设备与器件

1.数字电子实验箱

2. 示波器

3. 直流电压表

4. 2输入四OC与非门74LS03×1 2输入四三态非门74LS125×1 六非门74LS04×1

四、实验内容

1. TTL集电极开路与非门74LS03负载电阻R L的确定。

用两个集电极开路与非门“线与”使用驱动一个TTL非门(74LS04六非门引脚排列如图2—7所示)。负载电阻由一个200Ω电阻和一个20K电位器串接而成,取Eo=5V, U oH=3.5V, U oL=0.3V,按图2—8连接实验电路。接通电源, 用逻辑开关改变两个OC门的输入状态, 先使OC门“线与”输出高电平, 调节R P至使U oH=3.5V, 测得此时的R L即为R Lmax, 再使电路输出低电平U oL=0.3V, 测得此时的R L即为R L min。

2. 集电极开路门的应用

(1) 用OC门实现EF

+

=

AB

F+

CD

实验时输入变量允许用原变量和反变量, 外接负载电阻R L自取合适的值。

(2) 用OC门实现异或逻辑。

(3) 用OC电路作TTL电路驱动CMOS电路的接口电路, 实现电

平转换。

实验电路如图2—9所示。

①在电路输入端加不同的逻辑电平值, 用数字电压表测量集电极开路与非门及CMOS与非门的输出电平值。

②在电路输入端加1KH Z方波信号, 用示波器观察A、B、C各点电压波形幅值的变化。

3. 三态输出门

(1) 测试74LS125三态输出门的逻辑功能

三态门输入端接逻辑开关, 控制端接单脉冲源, 输出端接0—1指示器。逐个测试集成块中四个门的逻辑功能, 记入表2—1中。

2) 三态输出门的应用

将四个三态缓冲器按图2—10接线,输入端按图示加输入信号, 控制端接逻辑开关, 输出端接电平指标器, 先使四个三态门的控制端均为高电平“1”, 即处于禁止状态, 方可接通电源, 然后轮流使其中一个门的控制端接低电平“0”,观察总线的逻辑状态。注意,应先使工作的三态门转换到禁止状态,再让另一个门开始传递数据。记录实验结果。

表2—1

输入输出

E A

0 0 1

1 0 1

五、实验报告

1. 画出实验电路图, 并标明有关外接元件值。

2. 整理分析实验结果, 总结集电极开路门和三态输出门的优缺点。

六、预习要求

1. 复习TTL集电极开路门和三态输出门工作原理。

2. 计算实验中各R L阻值, 并从中确定实验所用R L值(选标称值)。

3. 画出用OC与非门实现实验内容2 (1)、(2)的逻辑图。

4. 在使用总线传输时, 总线上能不能同时接有OC门与三态输出门?为什么?

实验三用SSI实现组合逻辑电路

一、实验目的

1.掌握用SSI设计组合逻辑电路的方法。

2.观察组合逻辑电路的冒险现象,了解竞争冒险的消除方法。

二、实验原理

(一)组合逻辑电路设计

组合逻辑电路是最常见的逻辑电路之一,其特点是在任何时刻电路的输出信号,仅取决于该时刻的输入信号,而与信号作用前电路原来所处的状态无关。

组合逻辑电路的设计步骤如图3-1所示。

(二)组合逻辑电路的竞争冒险

组合逻辑电路设计过程通常是在理想情况下进行的,即假定一切器件均没有延迟效应。但实际上并非如此,信号通过任何导线或器件都需要一个响应时间,而且由于制造工艺上的原因,各器件的延迟时间离散性很大。因此,按照理想情况设计的逻辑电路,在实际工作中有可能产生错误输出。一个组合电路,在它的输入信号变化时,输出出现瞬时错误的现象,称为组合电路的冒险现象。关于组合电路的竞争冒险的确良详细内容请参看有关教材。

组合逻辑电路的冒险现象是一个复杂的实际问题,在进行组合逻辑电路设计时,除了要进行静态测试,验证其逻辑功能外,还要进行动态测试,在输入信号发生变化的情况下,用示波器观察输出信号,看是否存在冒险现象。冒险现象是否需要消除,要乍具体情况而定。如果产生冒险的组合电路,它所接负载对这些干扰脉冲不敏感,即不受毛刺的影响,可以不必消除;反之,则必须消除冒险现象。

消除冒险现象的方法很多,详见有关教材。

三、实验设备

1.数字电子实验箱 2.信号源(下组件) 3.示波器

4.74LS00×2 74LS20×2

四、实验内容

1.采用74LS00设计一个3人无弃权表决电路(多数赞成则提案通过)。

2.采用74LS00和74LS20设计一个四输入端,三输出端的比较电路。

对二个无符号的二位二进制数进行比较,根据第一个数是否大于、等于、小于第二个数,使相应的三个输出端中的一个输出为“1”。

实验中只要求实现大于、或者小于的比较电路。

74LS00四-2输入与非门电路,其外引脚排列如图3-2所示。

3.采用74LS00和74LS20设计一个四输入端,二输出端的报警电锁,要求:

(1)锁上共有A、B、C、D四个按键,当A、C、D三个按键同时按下(“1”)时,插入钥匙能打开保险箱,且这时指示灯“正常工作”的绿灯亮;在未按规定按下按键时,防盗警铃响,且指示“报警”的红灯亮。

(2)当不按任何按键时,两输出端均为“0”输出。

4.竞争冒险现象的观察与消除

按图3-3所示的电路接线,当B=C=1时,A输入f=1MHz的方波信号,用示波器观察输出端F的波形,并作好记录。

然后,用添加校正项的方法消除冒险现象,画出逻辑图,并记录波形。

图3-2 74LS00外引脚排列图图3-3 “竞争冒险”实验电路

五、实验报告

根据实验内容,设计实验电路,记录实验结果。

六、实验预习要求

1.复习组合逻辑电路的设计方法。

2.复习教材中关于竞争冒险现象及其消除等内容。

3.根据实验内容要求设计出逻辑图。

实验四 MSI 数据选择器及其应用

一、实验目的

1. 熟悉中规模集成数据选择器的逻辑功能及测试方法。

2. 学习用集成数据选择器进行逻辑设计。

二、实验原理

数据选择器是常用的组合逻辑部件之一。它由组合逻辑电路对数字信号进行控制来完成较复杂的逻辑功能。它有若干个数据输入端D0、D1、…,若干个控制输入端A0、A1、…和一个输出端Y0。在控制输入端加上适当的信号,即可从多个输入数据源中将所需的数据信号选择出来,送到输出端。使用时也可以在控制输入端上加上一组二进制编码程序的信号,使电路按要求输出一串信号,所以它也是一种可编程序的逻辑部件。

中规模集成芯片74LS153为双四选一数据选择器,引脚排列如图4—1所示,其中D0,D1,D2,D3为四个数据输入端,Y 为输出端,A1,A2为控制输入端(或称地址端)同时控制两个四选一数据选择器的工作,G 为工作状态选择端(或称使能端)。74LS153的逻辑功能如表4—1所示,当1G (=2G )=1时电路不工作,此时无论A 1、A 0处于什么状态,输出Y 总为零,即禁止所有数据输出,当1G (=2G )=0时,电路正常工作,被选择的数据送到输出端,如A 1A 0=01,则选中数据D1

输出。

图4—1 图4—2 表4—1

输 入

输 出

G

A 1 A 0 Y 1

0 0 0 0

× 0 0 1 1

× 0 1 0 1

0 D0 D1 D2 D3

当G=0时,74LS153的逻辑表达式为

Y=1A 0A D0+1A A 0D1+A 10A D2+A 0A 1D3

中规模集成芯片74LS151为八选一数据选择器,引脚排列如图4—2所示。其中D0—D7为数据输入端,Y (Y )为输出端,A 2、A 1、A 0为地址端,74LS151的逻辑功能如表4—2所示。逻辑表达式为

Y=2A 1A 0A D0+2A 1A A 0D1+2A A 10A D2+2A A 0A 1D3+ A 21A 0A D4+ A 21A A 0D5+ A 2 A 10A D6+ A 2

A1A0 D7

数据选择器是一种通用性很强的中规模集成电路,除了能传递数据外,还可用它设计成数码比较器,变并行码为串行及组成函数发生器。本实验内容为用数据选择器设计函数发生器。

用数据选择器可以产生任意组合的逻辑函数,因而用数据选择器构成函数发生器方法简便,线路简单。对于任何给定的三输入变量逻辑函数均可用四选一数据选择器来实现,同时对于四输入变量逻辑函数可以用八选一数据选择器来实现。应当指出,数据选择器实现逻辑函数时,要求逻辑函数式变换成最小项表达式,因此,对函数化简是没有意义的。

表4—2

输入输出

G A2A1A0Y Y

1 ×××0 1

0 0 0 0 D0 0D

0 0 0 1 D1 1D

0 0 1 0 D2 2

D

0 0 1 1 D3 3

D

0 1 0 0 D4 4

D

0 1 0 1 D5 5

D

0 1 1 0 D6 6

D

0 1 1 1 D7 7

D

例:用八选一数据选择器实现逻辑函数

F=AB+BC+CA

写出F的最小项表达式

F=AB+BC+CA=ABC

A+

+

BC

+

B

C

A

AB

C

先将函数F的输入变量A、B、C加到八选一的地址端A2、A1、A0,再将上述最小项表达式与八选一逻辑表达式进行比较(或用两者卡诺图进行比较)不难得出

D0=D1=D2=D4=0

D3=D5=D8=D7=1

图4—3为八选一数据选择器实现F=AB+BC+CA的逻辑图。

果用四选一数据选择器实现上述逻辑函数,由于选择器只有两个地址端A1、A0,而函数F有三个输入变量,此时可把变量A、B、C分成两组,任选其中两个变量(如A、B)作为一组加到选择器的地址端,余下的一个变量(如C)作为另一组加到选择器的数据输入端,并按逻辑函数式的要求求出加到每个数据输入端D0—D7的C的值。选择器输出Y便可实现逻辑函数F。

当函数F的输入变量小于数据选择器的地址端时,应将不同的地址端及不用的数据输入端都接地处理。

三、实验设备与器件

1. 数字电子实验箱

2. 双四选一数据选择器74LS153×1

八选一数据选择器74LS151×1

图4—3

四、实验内容

1. 测试74LS153双四选一数据选择器的逻辑功能

地址端、数据输入端、使能端接逻辑开关,输出端接0—1指示器。 按表4—1逐项进行功能验证。 2. 用74LS153实现下述函数 (1)构成全加器

全加器和数S n 及向高位进位数C n 的逻辑方程为 1111----+++=n n n n A B C C B A C B A C B A Sn 1111----+++=n n n n A B C C AB C B A BC A Cn

(2)构成三人表决电路

按自己设计用四选一构成三人表决电路接线,测试逻辑功能记录之。 (3)构成函数 C A B C A F ++=

3. 测试74LS151八选一数据选择器的逻辑功能 按表4—2逐项进行功能验证。

4. 用74LS151实现下述函数 (1)三人表决电路

按图4—3接线并测试逻辑功能。 (2) F=B A B A +

按自己设计电路进行实验。

五、实验报告

1. 总结74LS153和74LS151的逻辑功能

2. 总结用数据选择器构成全加器的优点,并与实验四进行比较;

3. 论证自己设计各逻辑电路的正确性及优缺点。

六、预习要求

1. 复习数据选择器有关内容。

2. 设计用四选一数据选择器实现三人表决电路。 画出接线图,列出测试表格。

3. 设计用八选一数据选择器实现三人表决电路。 画出接线图,列出测试表格。

4. 设计用四选一实现 C A B C A F ++=画接线图,列测试表格。

5. 设计用八选一实现B A B A F +=画接线图,列测试表格。

6. 怎样用四选一数据选择器构成十六选一电路。

实验五MSI译码器及其应用

一、实验目的

1.熟悉集成译码器的性能和使用方法。

1.掌握用集成译码器设计组合逻辑电路的方法。

二、实验原理

由输出的状态来表示输入代码逻辑组合的数字电路称为译码器。译码器是组合逻辑电路的范例,可以说,所有组合电路都是某种类型的译码器。

译码器在数字系统中应用很广泛,不仅可将二进制或二—十进制数码进行一定的逻辑组合,从而获得某种输出,而且可组成数据分配器、信号检测器、逻辑函数发生器等。

图5-1所示的是本实验所用的3线—8线译码器74LS138的外引脚排列图,其逻辑功能如表5-1所示。

图5-1 74LS138外引脚排列图

表5-1 74LS138逻辑功能表

输入输出

S1 S2+S3 A2 A1 A0 Q0 Q1 Q2 Q3 Q4 Q5 Q6

Q7

× 1 × × ×

0 × × × ×

1 0 0 0 0 1 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

0 1 1 1 1 1 1 1

1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0

三、实验仪器

1.数字电子实验箱 2.信号源

3. 74LS138×2 74L S20×2

四、实验内容

1.测试74LS138的逻辑功能,熟悉外引脚排列。

2.用74LS138组成4线—16线译码器。

3.用74LS138实现八路数据分配器。

4.用74LS138作单“1”检测器。要求当输入三位二进制代码X0X1X2中总共只有一个“1”时,输出指示为“1”,否则指示为“0”。

5.用74LS138实现一位全加器。

五.预习要求

1.复习译码器的有关内容,熟悉74LS138的功能和使用方法。

2.预习本次实验的全部内容,根据实验内容要求设计好实验电路图。

3.拟定实验步骤,设计好有关记录表格。

实验六 MSI 加法器及其应用

一、实验目的

1. 掌握半加器和全加器的逻辑功能及测试方法。

2. 用中规模集成全加器74LS183构成三位并行加法电路。

二、实验原理

在数字系统中, 经常需要进行算术运算, 逻辑操作及数字大小比较等操作, 实现这些运算功能的电路是加法器。加法器是一种组合逻辑电路, 主要功能是实现二进制数的算术加法运算。

半加器完成两个一位二进制数相加, 而不考虑由低位来的进位。半加器逻辑表达式为 Bn An nBn A n B An Sn ⊕=+= Cn=AnBn

逻辑符号如图6—1所示, An,Bn 为输入端, Sn 为本位和数输出端, Cn 为向高位进位输出端, 可以用与门和异或门实现半加器。

图6—1 图6—2 全加器是带有进位的二进制加法器, 全加器的逻辑表达式为 1111----+++=n n n n n n n n n n n n C B A C B A C B A C B A Sn 1111----+++=n n n n n n n n n n n n C B A C B A C B A C B A Cn

逻辑符号如图6—2所示, 它有三个输入端An 、Bn 、Cn-1,Cn-1为低位来的进位输入端,两个输出端Sn 、Cn 。实现全加器逻辑功能的方案有多种, 其中简单的可以用与门、或门及异或门构成的全加器。

中规模集成电路双全加器74LS183引脚排列如图6—3所示。 实现多位二进制数相加有多种形式电路,其中比较简单的一种电路是采用并行相加,逐位进位的方式。图6—4所示为三位并行加法电路,能进行两个三位二进制数A 2、A 1、Ao 和B 2、B 1、Bo 相加,最低位由于没有来自更低位的进位,故采用半加器,如果把全加器的Cn-1端接地,即可作为半加器使用。作为一种练习,本实验采用异或门和与门作为半加器,并采用74LS183的二个一位全加器分别作为三位加法器中的次高位和最高位。

它们的引脚排相同,故只给出74LS 08引脚图,如图6—5所示。

本实验采用的与门型号为2输入四与门74LS08

或门型号为2输入四或门74LS32

异或门型号为2输入四异或门74LS86

三、实验设备及器件

1. 数字电子实验箱

2. 2 输入四与门74LS08×1

2 输入四或门74LS32×1

2 输入四异或门74LS86×1

双全加器74LS183×1

四、实验内容

1. 分别检查74LS08、74LS32、74LS86的逻辑功能

门的输入端接逻辑开关,输出端接电平指标器。记录之。

2. 用74LS08及74LS86构成一位半加器

按表6—1改变输入端状态,测试半加器的逻辑功能。记录之。(此线路保留,下面要用)。

3. 用74LS08、74LS86、及74LS32构成一位全加器。

按表6—2改变输入端状态,测试全加器的逻辑功能。记录之。

4. 集成全加器74LS183逻辑功能测试

输入端接逻辑开关、输出端接电平指示器,逐个测试两个全加器的逻辑功能。记录之。

5. 三位加法电路

参考图6—4构成三位加法电路

按表6—3改变加数和被加数,记录相加结果。

表6—1 表6—2

输入输出Ao Bo So Co 0 0

0 1

1 0

1 1

输入输出An Bn Cn-1 Sn Cn 0 0 0

0 0 1

0 1 0

0 1 1

1 0 0

五、实验报告

1. 整理半加器、全加器

实验结果,总结逻辑功能。

2. 对用74LS08、74LS86及74LS32构成的全加器与集成全加器74LS183进行比较。

3. 讨论三位加法

电路实验结果的正确性。

六、预习要求

1. 复习有关加法器部分内容。

2. 能否用其它逻辑门实现半加器和全加器?

3. 本实验三位加法电路是如何实现三位二进制数相加的?

表6—3

加数被加数相加结果

A2 A1 Ao B2 B1 Bo C2 S2 S1 So

0 1 1 0 1 0

0 1 1 1 0 0

1 0 1 1 1 0

1 1 1 1 1 1

实验七触发器

一、实验目的

1. 掌握基本RS触发器、JK触发器、D触发器和T触发器的逻辑功能。

2. 熟悉各类触发器之间逻辑功能的相互转换方法。

二、实验原理

触发器是具有记忆功能的二进制信息存贮器件,是时序逻辑电路的基本单元之一。触发器按逻辑功能可分RS、JK、D、T触发器;按电路触发方式可分为主从型触发器和边沿型触发器两大类。

图7—1所示电路由两个“与非”门交叉耦合而成的基本RS触发器,它是无时钟控制低电平直接触发的触发器,有直接置位、复位的功能,是组成各种功能触发器的最基本单元。基本RS触发器也可以用两个“或非”门组成,它是高电平直接触发的触发器。

JK触发器是一种逻辑功能完善,通用性强的集成触发器,在结构上可分为主从型JK触发器和边沿型JK触发器,在产品中应用较多的是下降边沿触发的边沿型JK触发器。JK触发器的逻辑符号如图7—2所示。它有三种不同功能的输入端,第一种是直接置位、复位输入端,用R和S表示。在S=0,R=1或R=0,S=1时,触发器将不受其它输入端状态影响,使触发器强迫置“1”(或置“0”),当不强迫置“1”(或置“0”)时,S、R都应置高电平。第二种是时钟脉冲输入端,用来控制触发器触发翻转(或称作状态更新),用CP表示(在国家标准符号中称作控制输入端,用C表示),逻辑符号中CP端处若有小园圈,则表示触发器在时钟脉冲下降沿(或负边沿)发生翻转,若无小园圈,则表示触发器在时钟脉冲上升沿(或正边沿)发生翻转。第三种是数据输入端,它是触发器状态更新的依据,用J、K表示。JK触发器的状态方程为

+1

n Q

n

n

=

Q+

J

Q

K

本实验采用74LS112型双JK触发器,是下降边沿触发的边沿触发器,引脚排列如图7—3所示。表7—1为其功能表。

D触发器是另一种使用广泛的触发器,它的基本结构多为维阻型。D触发器的逻辑符号如图7—4所示。D触发器是在CP脉冲上升沿触发翻转,触发器的状态取决于CP脉冲

数字电子技术试题及答案

广东技术师范学院《数字电子技术》试卷及答案 一、填空题(每空1分,共20分) 1、 有一数码10010011,作为自然二进制数时,它相当于十进制数( 147 ),作为8421BCD 码时,它相当于十进制数( 93 )。 2、三态门电路的输出有高电平、低电平与( 高阻 )3种状态。 3.TTL 与非门多余的输入端应接( 高电平或悬空 )。 4.TTL 集成JK 触发器正常工作时,其d R 与d S 端应接( 高 )电平。 5、 已知某函数?? ? ? ?+??? ? ?++=D C AB D C A B F ,该函数的反函数 F =( D C B A D C A B ++ )。 6、 如果对键盘上108个符号进行二进制编码,则至少要(7)位二进制数码。 7、 典型的TTL 与非门电路使用的电路为电源电压为( 5 )V,其输出高电平为( 3、6 )V,输出低电平为( 0、35 )V, CMOS 电路的电源电压为( 3—18 ) V 。 8.74LS138就是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11 )根地址线,有( 16 )根数据读出线。 10、 两片中规模集成电路10进制计数器串联后,最大计数容量为(100 )位。 11、 下图所示电路中, Y 1=( Y 1=A 反B );Y 2 =( Y 2=A B 反+ A B ); (Y 3=A B ); Y 3 ( Y 3=A B 反 )。 12、 某计数器的输出波形如图1所示,该计数器就是( 5 )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( 低 )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个就是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1、 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( A ) 。 A.F(A,B,C)=∑m(0,2,4) B 、 (A,B,C)=∑m(3,5,6,7) C.F(A,B,C)=∑m(0,2,3,4) D 、 F(A,B,C)=∑m(2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出 012Y Y Y ??的值就是( C )。 A B Y 1 Y 2 Y 3

数字电子技术总复习1

【教学内容】 第一章逻辑代数基础总结主要内容 ?数制和码制 ?逻辑代数的公式和定理 ?逻辑函数的表示方法 ?逻辑函数的化简方法 具体内容 ?二进制数、十进制数、十六进制数及其互相转换 ?各种BCD码(8421码、余3码、2421码、5211码、余3循环码)思考题: 1.以下代码中为无权码的为CD 。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2 一位十六进制数可以用 C 位二进制数来表示。 A.1 B.2 C.4 D. 16 B.0 3 十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 4 与八进制数(47.3)8等值的数为:AB (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 5. 常用的BCD码有 C D 。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 答案:1.C D 2.C 3.B 4.AB 5.CD 思考题. (10110010.1011)2=( )8=( )16 答案:262.54 B2.B 思考题. ( 0111 1000)8421BCD =()2=( )8=( )10=( )16 答案:1001110 116 78 4E ?各种逻辑运算(与、或、非、与非、或非、与或非、异或、同或)?逻辑代数的常用公式和定理 思考题 1. 以下表达式中符合逻辑运算法则的是 D 。 A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1 2. 当逻辑函数有n个变量时,共有 D 个变量取值组合? A. n B. 2n C. n2 D. 2n 3. 逻辑函数的表示方法中具有唯一性的是 AD 。 A .真值表 B.表达式 C.逻辑图 D.卡诺图 4.求一个逻辑函数F的对偶式,可将F中的 ACD 。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0”

数字电子技术期末试题库

【数字电子技术】【试题库】 一、填空题 1.电子电路中的信号可分为两大类,即模拟信号和。 2.数字信号是时间上和上都不连续的信号。 3.十进制数176转换成二进制数为。 4.二进制数11010011转换成十进制数为。 5.所谓二-十进制编码,就是用若干位二进制码元按一定的规律排列起来表示十进制数的过程,也称为码。 6.目前,国际最通用的处理字母、专用符号和文字的二进制代码就是美国标准信息交换码,即码。 ?+?=。 7.二进制数的逻辑运算0111 8. 二进制数的逻辑运算11=。 ⊕=。 9. 二进制数的逻辑运算11 +?=。 10.利用逻辑代数公式,对右式进行化简,A A B ++?=。 11.利用逻辑代数公式,对右式进行化简,A B A B 12.逻辑代数的三条重要规则分别是代入规则、反演规则和。 13.由n个逻辑变量组成的不同最小项个数为个。 14.由n个变量组成的“与或”逻辑表达式,若其中每一项均是关于n个逻辑变量的最小项,则称这一表达式为。 15.利用卡诺图求解最简逻辑表达式时,需要画方格圈,其中有三条要求:将2n个值为1的方格划为一个方格圈,方格圈的数量应(越少/越多)越好,方格圈所含的方格数应(越少/越多)越好。 16.三极管作为开关元件,通常工作在截止区和。 17.集成门电路主要有TTL门电路和。 18.三态门电路的输出有高电平、低电平和共3种状态。 19.TTL集成门电路是由半导体构成的,由于它工作速度快,带负载和抗干扰能力强,因而在数字电路中应该广泛。 20.根据逻辑功能的不同特点,数字逻辑电路可以分为两大类:组合逻辑电路和。 21.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现干扰脉冲,从而导致逻辑电路产生错误输出的现象,称为。 22.触发器有两种稳定状态,即0状态和。 23.RS触发器由两个门电路首尾相连构成。 24.为了避免基本RS触发器输出存在不确定的情况,对其输入端设置了相应的约束条件是。

数电期末模拟题及答案

《数字电子技术》模拟题一 一、单项选择题(2×10分) 1.下列等式成立的是( ) A 、 A ⊕1=A B 、 A ⊙0=A C 、A+AB=A D 、A+AB=B 2.函数F=(A+B+C+D)(A+B+C+D)(A+C+D)的标准与或表达式是( ) A 、F=∑m(1,3,4,7,12) B 、F=∑m(0,4,7,12) C 、F=∑m(0,4,7,5,6,8,9,10,12,13,14,15) D 、F=∑m(1,2,3,5,6,8,9,10,11,13,14,15) 3.属于时序逻辑电路的是( )。 A 、寄存器 B 、ROM C 、加法器 D 、编码器 4.同步时序电路和异步时序电路比较,其差异在于后者( ) A 、没有触发器 B 、没有统一的时钟脉冲控制 C 、没有稳定状态 D 、输出只与内部状态有关,与输入无关 5.将容量为256×4的RAM 扩展成1K ×8的RAM ,需( )片256×4的RAM 。 A 、 16 B 、2 C 、4 D 、8 6.在下图所示电路中,能完成01=+n Q 逻辑功能的电路有( ) 。 A 、 B 、 C 、 D 、 7.函数F=A C+AB+B C ,无冒险的组合为( )。 A 、 B=C=1 B 、 A=0,B=0 C 、 A=1,C=0 D 、 B=C=O 8.存储器RAM 在运行时具有( )。 A 、读功能 B 、写功能 C 、读/写功能 D 、 无读/写功能 9.触发器的状态转换图如下,则它是: ( ) A 、T 触发器 B 、RS 触发器 C 、JK 触发器 D 、D 触发器 10.将三角波变换为矩形波,需选用 ( ) A 、多谐振荡器 B 、施密特触发器 C 、双稳态触发器 D 、单稳态触发器 二、判断题(1×10分) ( )1、在二进制与十六进制的转换中,有下列关系: (1001110111110001)B =(9DF1)H ( )2、8421码和8421BCD 码都是四位二进制代码。 ( )3、二进制数1001和二进制代码1001都表示十进制数9。 ( )4、TTL 与非门输入采用多发射极三极管,其目的是提高电路的开关速度。 ( )5、OC 与非门的输出端可以并联运行,实现“线与”关系,即L=L 1+L 2 ( )6、CMOS 门电路中输入端悬空作逻辑0使用。 ( )7、数字电路中最基本的运算电路是加法器。 ( )8、要改变触发器的状态,必须有CP 脉冲的配合。

数字电子技术试卷A及答案

————————¤—————¤———————————装订线————————¤———————¤—————— 北华大学2006-2007学年第二学期 《数字电子技术》课程期末考试试卷(A 卷) 一、选择题(每题3分,共30分) 1.将十进制数3.125转换成二进制数,可以写成( A ) A .[11.001]2 B. [11.000100100101] 2 C. [0011.100] 2 D. [11.01] 2 2.函数))(( B A C B A D CD A B A Y +?++=可以写成( D ) A . B A Y = B. 1=Y C. D C B A Y = D. 0=Y 3. 试判断图示组合电路,在C=0时的逻辑功能为( D ) A .同或门 B .与门 C .与非门 D .或非门 4.一个N 选1 MUX 的输入地址码的位数是:( C ) A .N B .N 2 C .N 2log D .N 2ln 5.从触发器的工作特点来看,它是( A ) A. 双稳态电路 B. 单稳态电路 C. 无稳态触发电路 D. 多谐振荡电路 6. 若由JK 触发器连接成T 触发器,则可将( D ) A. J=K=1 B. J=1,K=0 C. J=K=T D. J=K=T 7. 多谐振荡器可以产生( A )波形。 A. 正弦波 B. 三角波 C. 矩形波 D. 锯齿波 8. 下列逻辑函数表达式中,不可能产生竞争冒险现象的是( C ) A . BC B A Y +?= B. ))(( C B B A Y ++= C. ABC C B A C B A Y ++??= D. C B AC Y += 9. 只能读出数据,不能更改数据的存储器是( B ) A .RAM B .ROM C .PROM D .EPPROM

数字电子技术基础1答案

数字电子技术基础 模拟卷1 一、单项选择题 1、将十进制数56转换成8421BCD 码应是: (D ) A 、(56)10= (0011 1000)8421BCD B 、(56)10= (0011 1001)8421BCD C 、(56)10= (0101 1000)8421BCD D 、(56)10= (0101 0110)8421BCD 2、使晶体三极管工作于饱和区的电压条件是: (C ) A 、发射结正偏,集电结反偏 B 、发射结反偏,集电结反偏 C 、发射结正偏,集电结正偏 D 、发射结反偏,集电结正偏 3、只有当两个输入变量的取值相同时,输出才为1,否则输出为0,这种逻辑关系叫做: (A ) A 、同或 B 、与非 C 、异或 D 、或非 4、在功能表中×的含义是: ( D ) A 、表示高电平 B 、表示低电平 C 、高低电平都不可以 D 、高低电平都可以 5、下列4个电路中能实现AB L 逻辑关系的是: ( C ) A A ≥1 & B B B A L 1 L =1 B A =1 A B C D 6、TTL 门电路理论上的逻辑低电平为: ( B ) A 、0V B 、0.3V C 、1.4V D 、1.8V 7、下列电路中不属于时序逻辑电路的是: (B ) A 、移位寄存器 B 、译码器 C 、随机存取存储器 D 、计数器 8、下列电路中无需外加触发信号就能自动产生方波信号的电路是: ( A ) A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 D 、RS 触发器 9、下面对时序逻辑电路的描述不正确的是: (A ) A 、时序电路中任一时刻的输出信号仅取决于该时刻的输入信号。 B 、时序电路包含组合电路和存储电路两部分。 C 、时序电路中的存储电路是要记忆以前的状态,存储电路可由触发器组成。 D 、时序电路一般分为两大类:同步时序电路和异步时序电路

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

数字电子技术期末复习题库及答案完整版

数字电子技术期末复习 题库及答案 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

第1单元能力训练检测题 一、填空题 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑 关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和 非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的 权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用 乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换 的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和 非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。 (对) 3、8421BCD码、2421BCD码和余3码都属于有权码。 (错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。 (对)

数字电子技术基础习题及答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- - +++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑= m d D C B A Y ),,,,()+,,,, (84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画出Q 端波形(设初态Q =0)(15)

解;(1)AQ Q Q n +=- +1 , (2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作波形,并求出振荡频率。(15)

数字电子技术基础(1)

东 北 大 学 继 续 教 育 学 院 数字电子技术基础 I 试 卷(作业考核 线下) B 卷(共 4 页) 注:请您单面打印,使用黑色或蓝色笔,手写完成作业。杜绝打印,抄袭作业。 一、(12分)化简逻辑函数 1、(4分)用公式法化简逻辑函数AB ABC C AB F ?+=,并用最少量与非门实现该逻辑函数。 答案: 2、(8分)某电路的输入A 、B 、C 和输出F 的波形如图所示,请列出真值表、化简该逻辑 函数,并用最少量二输入与非门实现该电路。 二、(10分), 对CMOS 电路,图中 给予标注,未加标注的为TTL 电路。 答案:A F =1 12=F A F =3 AB F =4 B A F +=5 三、(12分)用4选1数据选择器设计一个奇校验电路,输入为3位二进制代码。当输入代码中有偶数个1时或者没有1时输出为0。要求列出真值表、写出逻辑函数表达式,画出逻辑图。4选1数据选择器的逻辑符号如图所示。 答案:真值表 ABC AB ABC ABC AB AB ABC AB C AB AB AB AB ABC C AB AB ABC C AB F =?+?=?++=?+?+=?+=)(

逻辑函数表达式为ABC C B A C B A C B A F +++= 四、(10分)8线-3线优先编码器 答案:74148和与非门构成了十线-五、(10A 、B 为输入端。要求写出S 1、S 0答案:由图可知: B A S S B A S S AB S B A S S AB S S A S S F 010*********+++++?= 由此知 六、(8分)试用图(a)路。要求将图(a)中的触发器和门电路连接成一个完整的电路。 Q Q CP F 图(a) CP Q F 图(b) 答案:由波形图看出触发器的状态在每个CP 下降沿翻转,将JK 触发器的输入端同时接1即可。CP Q CP Q F ⊕=⊕= 七、(6分)一个D 触发器转换成T 触发器的电路如图2所示,试确定图中虚框内逻辑门的 类型,简单说明理由。 图2 答案:逻辑门的类型是同或门。原因是n n n Q T Q T D Q ⊕=⊕==+1。 八、(6 分)画出图 (a)电路中触发器输出端的波形。输入信号A 、B 的波形如图 (b)所示。触 发器的初始状态Q=0。 CP 图(a ) 图(b ) A C F

数字电子技术试题和答案(题库)

数字电子技术基础试题(一)一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1 2.下列几种TTL电路中,输出端可实现线和功能的电路是()。 A、或非门 B、和非门 C、异或门 D、OC门 3.对CMOS和非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。 图3 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器

完整版数字电子技术基础1

、单项选择题 1、将十进制数56转换成8421BCD 码应是: 2、使晶体三极管工作于饱和区的电压条件是: 系叫做: 6、TTL 门电路理论上的逻辑低电平为: 7、下列电路中不属于时序逻辑电路的是: &下列电路中无需外加触发信号就能自动产生方波信号的电路是: A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 9、下面对时序逻辑电路的描述不正确的是: A 、时序电路中任一时刻的输出信号仅取决于该时刻的输入信号。 数字电子技术基础 模拟卷1 A 、(56) 10= ( 0011 1000 8421BCD B 、 (56) 10= ( 0011 1001) 8421BCD C 、(56) 10= ( 0101 1000) 8421BCD D 、 (56) 10= ( 0101 0110) 8421BCD A 、发射结正偏,集电结反偏 B 、发射结反偏,集电结反偏 C 、发射结正偏,集电结正偏 D 、发射结反偏,集电结正偏 3、只有当两个输入变量的取值相同时, 输出才为 1,否则输出为0,这种逻辑关 A 、同或 B 、与非 C 、异或 D 、或非 4、 在功能表中刈勺含义是: A 、表示高电平 B 、表示低电平 C 、咼低电平都不可以 D 、咼低电平都可以 5、 下列4个电路中能实现L AB 逻辑关系的是: >1 =1 >1 =1 D- A 、0V B 、0.3V C 、1.4V D 、1.8V A 、移位寄存器 B 、译码器 C 、随机存取存储器 计数器 RS 触发器

B 、时序电路包含组合电路和存储电路两部分。 C 、时序电路中的存储电路是要记忆以前的状态, 存储电路可由触发器组成。 D 、时序电路一般分为两大类:同步时序电路和异步时序电路 10、已知静态RAM2114的存储容量为1K X 4位,若要扩展存储容量为 需要几片2114 D 、16 片 12、5G7520为10位集成数模转换器,设参考电压 V REF =10V ,R F =R , 、多项选择题(在每小题的四个备选答案中,选出至少两个正确答案,并将其 2、描述触发器逻辑功能的方法有: 3、比较下列几个数的大小,正确的结果是: D 、(2A ) 16 >( 101101) 2 4、在下式中选出正确的逻辑代数公式: 4KX8 位, 11、已知逻辑函数 L A B D ,则其反函数F 为: A 、A BCD B 、A BCD C 、A BCD D 、 A BCD 当输入全 1时,输出电压的绝对值为: 10V C 10V ——255 B 、—— 1 256 1024 B 、 C 、 10V ——1023 1024 D 、 10V —— 1 256 号码分别填在题干的括号内。多选、少选、 错选均无分。) 1、逻辑函数L (AB AB)C 中,变量A 、 B 、C 取哪些值时, L 的值为1。 A 、ABC 取 011 B 、AB C 取 101 C 、ABC 取 000 ABC 取 111 A 、功能表 B 、特征方程 C 、状态转换图 D 、驱动表 A 、(46) 8>( 39) 10 B 、(2A ) 16>( 39) 10 C 、(101101) 2>( 39) 10

数字电子技术基础1

数字电子技术基础模拟卷1 、单项选择题 1、将十进制数56转换成8421BCD 码应是: A 、(56) 10= (0011 1000 8421BCD B 、(56) 10= (0011 1001) C 、(56) 10= (0101 100C ) 8421BC D D 、(56) 10= (0101 0110) 2、使晶体三极管工作于饱和区的电压条件是: A 、发射结正偏,集电结反偏 B 、发射结反偏,集电结反偏 C 、发射结正偏,集电结正偏 D 、发射结反偏,集电结正偏 3、只有当两个输入变量的取值相同时, 输出才为 1,否则输出为0,这种逻辑关 系叫做: 4、在功能表中 >的含义是: A 、表示咼电平 5、下列4个电路中能实现L AB 逻辑关系的是: 6、TTL 门电路理论上的逻辑低电平为: 7、下列电路中不属于时序逻辑电路的是: F 列电路中无需外加触发信号就能自动产生方波信号的电路是: A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 9、下面 对时序逻辑电路的描述不正确的是: A 、时序电路中任一时刻的输出信号仅取决于该时刻的输入信号。 8421BCD 8421BCD A 、同或 B 、与非 C 、异或 D 、或非 B 、表示低电平 C 、高低电平都不可以 D 、高低电平都可以 =1 >1 A 、0V B 、0.3V C 、1.4V D 、1.8V A 、移位寄存器 B 、译码器 C 、随机存取存储器 计数器 RS 触发器 L A A B B A B

B 、 时序电路包含组合电路和存储电路两部分。 C 、 时序电路中的存储电路是要记忆以前的状态, 存储电路可由触发器组成 D 、 时序电路一般分为两大类:同步时序电路和异步时序电路 10、已知静态RAM2114的存储容量为1K X 4位,若要扩展存储容量为4KX8位, 需要几片2114 () A 、4片 B 、2片 C 、8片 D 、16 片 11、已知逻辑函数L ABC D ,则其反函数F 为: () A 、A BCD B 、A BCD C 、A BCD D 、A BCD 12、5G7520为10位集成数模转换器,设参考电压 V REF =10V , R F =R ,当输入全 1时,输出电压的绝对值为: () 二、多项选择题(在每小题的四个备选答案中,选出至少两个正确答案,并将其 号码分别填在题干的括号内。多选、少选、错选均无分。) 1、 逻辑函数L (AB AB)C 中,变量A 、B 、C 取哪些值时,L 的值为1。 ()()()() A 、ABC 取 011 B 、AB C 取 101 C 、ABC 取 000 D 、ABC 取 111 2、 描述触发器逻辑功能的方法有: ()()()() A 、功能表 B 、特征方程 C 、状态转换图 D 、驱动表 3、 比较下列几个数的大小,正确的结果是: ()()()() A 、(46) 8>( 39) 10 B 、(2A ) 16>( 39) 10 C 、(101101) 2>( 39) 10 D 、(2A ) 16 >( 101101) 2 4、 在下式中选出正确的逻辑代数公式: 10V 256 255 B 、 10V 1 1024 C 、 10V 1023 1024 D 、 10V 256

数电期末练习题

第一章数制与码制 一、单项选择题: 1. 十进制数32转换为二进制数为(C)A、1000 B、10000 C、100000 D、1000000 2. 二进制数转换为十六进制数为(D )A、FE1H B、FC2H C、7D1H D、7E1H 3. 十进制数36转换为8421BCD码为(C)A、00100100 B、00110100 C、00110110 D、 4. 一位十六进制数可以用(C )位二进制数来表示。A、1B、2C、4D、16 5. 十进制数25用8421BCD码表示为(B )。A、10 101 B、0010 0101 C、100101 D、10101 6.十进制数35转换为8421BCD码为(B )A、00100100 B、00110101 C、00100011 D、00110110 7.三位二进制数码可以表示的状态是( D )。A、2 B、4 C、6 D、8 8.十进制数25转换为二进制数为( D )。A、110001 B、10111 C、10011 D、11001 9.BCD代码为()表示的数为(594)10,则该BCD代码为()。 A、8421BCD码 B、余3 BCD码 C、5421BCD码 D、2421BCD码(C) 10.与二进制数00100011相应的十进制数是( B )。A、35 B、19 C、23 D、67 11. 是8421BCD码的是( B )。A、1010B、0101 C、1100 D、1101 12. 二进制数1101转换为十进制数为(D )A、10 B、11 C、12D、13 13. 比较数的大小,最大数为( C )A、(1 B、(51)10C、(34)16 =(52) 10 D、(43)8 14.把二进制数转换成十进制数为(A )A、150 B、96 C、82 D、159 15. 将十六进制数4FB转换为二进制数等于( C ) A、0B B、0B C、0 D、 16. 将数转换为十六进制数为( A )A、 B 、C、 D 2 17. 将十进制数130转换为对应的八进制数:( ) A、202 B、82 C、120 D、230 18. 二进制整数最低位的权是(c )A、0 B、2 C、02D、4 19. n位二进制整数,最高位的权是()A、n2B、1n2-C、1n2+D、2n2+ 20. 下列四个数中最大的数是( ) A、(AF)16 B、(0010)8421BCD C、()2 D、(198)10 21. 将代码()8421BCD转换成二进制数为(b) A、(01000011)2 B、(01010011)2 C、()2 D、(0001)2 22. 十进制数4用8421BCD码表示为:()A、100 B、0100 C、0011 D、11 23. 下列不同进位制中最大的是() A、(76)8 B、(1100101)2 C、(76)10 D、(76)16 24. 用8421码表示的十进制数45,可以写成() A、45 B、[101101]BCD C、[01000101]BCD D、[101101]2 25. 下列属于8421BCD码的是()A、1011B、1111C、0111D、1100 26. 下列不属于8421BCD码的是()A、0101B、1000C、0111D、1100 27. 下列四个数中最大的数是( )

数字电子技术试卷及答案(1)

一、单项选择题(每小题1分,共15分) 在下列每小题的四个备选答案中选出一个正确的答案,并将其字母标号填入题干的括号内。 1.一位十六进制数可以用多少位二进制数来表示?( C ) A . 1 B . 2 C . 4 D . 16 2.以下电路中常用于总线应用的是( A ) A.T S L 门 B.O C 门 C. 漏极开路门 D.C M O S 与非门 3.以下表达式中符合逻辑运算法则的是( D ) A.C ·C =C 2 B.1+1=10 C.0<1 D.A +1=1 4.T 触发器的功能是( D ) A . 翻转、置“0” B. 保持、置“1” C. 置“1”、置“0” D. 翻转、保持 5. 存储8位二进制信息要多少个触发器(D ) A.2 B.3 C.4 D.8 6.多谐振荡器可产生的波形是( B ) A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 7.一个16选一的数据选择器,其地址输入(选择控制输入)端的个 数是( C ) A.1 B.2 C.4 D.16 8.引起组合逻辑电路中竟争与冒险的原因是( C ) A.逻辑关系错; B.干扰信号; C.电路延时; D.电源不稳定。 9.同步计数器和异步计数器比较,同步计数器的最显著优点是( A ) A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟C P 控制 10.N 个触发器可以构成能寄存多少位二进制数码的寄存器?( B ) A.N -1 B.N C.N +1 D.2N 11.若用J K 触发器来实现特性方程AB Q A Q n 1n +=+,则J K 端的方程应为 ( B )

A.J =A B ,K =B A B.J =A B ,K =B A C.J =B A +,K =A B D.J =B A ,K =A B 12.一个无符号10位数字输入的DA C ,其输出电平的级数是( C ) A.4 B.10 C.1024 D.100 13.要构成容量为4K ×8的RAM ,需要多少片容量为256×4的RAM ?( D ) A.2 B.4 C.8 D.32 14.随机存取存储器R A M 中的内容,当电源断掉后又接通,则存储器 中的内容将如何变换?( C ) A.全部改变 B.全部为1 C.不确定 D.保持不变 15.用555定时器构成单稳态触发器,其输出的脉宽为( B ) A.0.7RC ; B.1.1RC ; C.1.4RC ; D.1.8RC ; 二、多项选择题(每小题1分,共5分) 在下列每小题的四个备选答案中有二至四个正确答案,请将正确答案全部选出并将其字母标号填入题干的括号内;少选错选都不得分。 16.以下代码中,为无权码的是( C )( D )( )( ) A . 8421BCD 码 B . 5421BCD 码 C . 余三码 D . 格雷码 17.当三态门输出高阻状态时,以下说法正确的是( A )( B )( )( ) A.用电压表测量指针不动 B.相当于悬空 C.电压不高不低 D.测量电阻指针不动 18.已知F=A B +BD+CDE+A D ,下列结果正确的是哪几个?( A )( C )( )( ) A.F =D B A + B.F =D B A )(+ C.F =))((D B D A ++ D.F =))((D B D A ++ 19.欲使J K 触发器按Q n +1=Q n 工作,可使J K 触发器的输入端为以下哪几种情况?( A )( B )( D )( ) A.J =K =0 B.J =Q,K =Q C.J =Q ,K =Q D.J =Q,K =0

数字电子技术试卷及答案(免费版)[1]

第1页(共8页) 第2页(共8页) 《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码 时,它相当于十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( ) 。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。 12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值 是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( ) A . 八 B. 五 C. 四 D. 三

数字电子技术-4套期末试卷-含答案

《数字电子技术基础》(第一套) 一、填空题:(每空1分,共15分) 1.逻辑函数Y AB C =+的两种标准形式分别为()、()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。 7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。 (6分)

《数字电子技术》期末考试题及答案(经典)

xxx~xxx学年第x学期 《数字电子技术》期末复习题 第一部分题目 一、判断题(每题2分,共30分。描述正确的在题号前的括号中打“√”,错误的打“×”)【】1、二进制有0 ~ 9十个数码,进位关系为逢十进一。 【】2、(325)8 >(225)10 【】3、十进制数整数转换为二进制数的方法是采用“除2取余法”。 【】4、在二进制与十六进制的转换中,有下列关系:(100111010001)2=(9D1)16 【】5、8421 BCD码是唯一能表示十进制数的编码。 【】6、十进制数85的8421 BCD码是101101。 【】7、格雷码为无权码,8421 BCD为有权码。 【】8、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 【】9、逻辑变量的取值,1比0大。 【】10、在逻辑代数中,逻辑变量和函数均只有0和1两个取值,且不表示数量的大小。【】11、逻辑运算1+1=1 【】12、逻辑运算A+1+0=A 【】13、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。 【】14、在时间和幅度上均不连续的信号是数字信号,所以语音信号是数字信号。 【】15、逻辑函数的运算次序为:先算括号内,后算括号外;先求与,再求或,最后求非。【】16、AB A C BC AB A C ++=+ 【】17、逻辑函数表达式的化简结果是唯一的。 【】18、逻辑真值表、逻辑表达式、逻辑图均是逻辑关系的描述方法。 【】19、n个变量组成的最小项总数是2n个。 【】20、逻辑函数的化简方法主要有代数化简法和卡诺图化简法。 【】21、逻辑函数化简过程中的无关项一律按取值为0处理。 【】22、数字电路中晶体管工作在开关状态,即不是工作在饱和区,就是工作在截止区。【】23、TTL或非门的多余输入端可以接高电平。 【】24、某一门电路有三个输入端A、B、C,当输入A、B、C不全为“1”时,输出Y为“0”,输入A、B、C全为高电平“1”时,输出Y为“1”,此门电路是或门电路。【】25、将三输入与非门中的两个输入端都接高电平,就可以实现非门功能。 【】26、基本的逻辑关系有与、或、非三种,其实现单元电路分别为与非门和或非门两种。【】27、CMOS门电路的输入电流大于TTL门电路的输入电流。 【】28、组合逻辑电路的基本组成单元是门电路。 【】29、组合电路没有记忆功能。 【】30、组合电路是一种具有记忆功能的逻辑电路。

相关文档
最新文档