北京交通大学数电实验自动增益电路图(可用)

A010A112A213A315B09B111B214B31AB

4

QA>B

5

U2

74LS85

A010A112A213A315B09B111B214B31AB

4

QA>B

5

U3

74LS85

OUT121ADD B 24ADD A 25ADD C 23VREF(+)12VREF(-)

16

IN31IN42IN53IN64IN75START 6OUT58EOC 7OE

9

CLOCK 10OUT220OUT714OUT615OUT817OUT418OUT319IN228IN127IN026ALE 22U1

ADC0808

U1(VREF(+))123

U4:A 74LS08

4

56

U4:B

74LS08

9108

U4:C 74LS08

1213

11

U4:D 74LS08

456

U5:B

74LS08

9108

U5:C 74LS08

12

13

11

U5:D

74LS08

D03Q014D14Q113D25Q212D36

Q311RCO

15

ENP 7ENT 10CLK 2LOAD 9MR

1

U6

74LS161

D03Q02D14Q15D27Q26D38Q39D413Q412D514Q515D617Q616D718Q7

19OE 1LE

11

U7

74LS373D03Q02D14Q15D27Q26D38Q39D413Q412D514Q515D617Q616D718Q7

19

OE 1LE

11

U8

74LS373

VREF 8GND 3VCC 20CS 1WR12DI34DI25DI16DI07RFB 9GND 10

IOUT1

11

IOUT212DI713DI614DI515DI416XFER 17WR218ILE(BY1/BY2)19U9

DAC0832

1

2U10:A

74LS04

3

4

U10:B

74LS04

12

45

6

U11:A

74LS22

23

1

U12:A

74LS02

12

3

U13:A

74LS00

5

6

U10:C

74LS04

A

B C D

U1(CLOCK)

U2(A=B)

U9(VCC)

U1(IN0)

U6(MR)

U6(CLK)32

1

4

11

U14:A

LM324

123

U5:A 74LS08

R1

15k

+12

-12

北邮数字电路综合实验报告

数字电路综合实验报告 简易智能密码锁 一、实验课题及任务要求 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行4 位数字密码设定输入,在数码管上显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码,恢复初始密码“0000”。闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。 2、密码锁的密码位数(4~6 位)可调。

3、自拟其它功能。 二、系统设计 2.1系统总体框图 2.2逻辑流程图

2.3MDS图 2.4分块说明 程序主要分为6个模块:键盘模块,数码管模块,点阵模块,报警模块,防抖模块,控制模块。以下进行详细介绍。 1.键盘模块 本模块主要完成是4×4键盘扫描,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出高电平,在读入输出的行值时,通常高电平会被低电平拉低,当当前位置为高电平“1”时,没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出4列为高电平,然后在输出4行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。由此可确定按键位置。

北京邮电大学数电实验一实验报告

北京邮电大学数字电路与逻辑 设计实验 学院: 班级: 作者: 学号:

实验一 Quartus II原理图输入法设计 一、实验目的: (1)熟悉Quartus II原理图输入法进行电路设计和仿真 (2)掌握Quartus II 图形模块单元的生成与调 (3)熟悉实验板的使用 二、实验所用器材: (1)计算机 (2)直流稳压电源 (3)数字系统与逻辑设计实验开发板 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模 块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能, 并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数 ,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 四、设计思路和过程 (1)半加器的设计 半加器电路是指对两个输入数据位进行加法,输出一个结果位和进位,不产生进位输入的加法器电路。是实现两个一位二进制数的加法运算电路。数据输入AI被加数、BI加数,数据输出SO和数(半加和)、进位C0。 在数字电路设计中,最基本的方法是不管半加器是一个什么样的电路,按组合数字电路的分析方法和步骤进行。 1.列出真值表 半加器的真值表见下表。表中两个输入是加数A0和B0,输出有一个是和S0,另一个是进位C0。

2 该电路有两个输出端,属于多输出组合数字电路,电路的逻辑表达式如下函数的逻辑表达式为:SO=AI⊕BI CO=AB 所以,可以用一个两输入异或门和一个两输入与门实现。

北京交通大学模拟电子电路实验报告

《模拟电子技术》课程实验报告 集成直流稳压电源的设计 语音放大器的设计

集成直流稳压电源的设计 一、实验目的 1、 掌握集成直流稳压电源的设计方法。 2、 焊接电路板,实现设计目标 3、 掌握直流稳压电源的主要性能指标及参数的测试方法。 4、 为下一个综合实验——语音放大电路提供电源。 二、技术指标 1、 设计一个双路直流稳压电源。 2、 输出电压 Uo = ±12V , 最大输出电流 Iomax = 1A 。 3、 输出纹波电压 ΔUop-p ≤ 5mV , 稳压系数 S U ≤ 5×10-3 。 4、 选作:加输出限流保护电路。 三、实验原理与分析 直流稳压电源的基本原理 直流稳压电源一般由电源变压器T 、整流滤波电路及稳压电路所组成。 基本框图如下。各部分作用: 1、电源变压器:降低电压,将220V 或380V 的电网电压降低到所需要的幅值。 2、整流电路:利用二极管的单向导电性将电源变压器输出的交流电压变换成脉动的直流电压,经整流电路输出的电压虽然是直流电压,但有很大的交流分量。 直流稳压电源的原理框图和波形变换 整流 电路 U i U o 滤波 电路 稳压 电路 电源 变压器 ~

3、滤波电路:利用储能元件(电感、电容)将整流电路输出的脉动直流电压中 的交流成分滤出,输出比较平滑的直流电压。负载电流较小的多采用电容滤波电路,负载电流较大的多采用电感滤波电路,对滤波效果要求高的多采用电容、电感和电阻组成的复杂滤波电路。 单向桥式整流滤波电路 不同R L C的输出电压波形 4、稳压电路:利用自动调整的原理,使输出电压在电网电压波动和负载电流变化时保持稳定,即输出电流电压几乎不变。 常用的稳压电路有两种形式:一是稳压管稳压电路,二是串联型稳压电路。二者的工作原理有所不同。稳压管稳压电路其工作原理是利用稳压管两端的电压稍有变化,会引起其电流有较大变化这一特点,通过调节与稳压管串联的限流电阻上的压降来达到稳定输出电压的目的。它一般适用于负载电流变化较小的场合。串联型稳压电路是利用电压串联负反馈的原理来调节输出电压的。集成稳压电源事实上是串联稳压电源的集成化。实验中为简化电路,我们选择固定输出三端稳压器作为电路的稳压部分。固定输出三端稳压器是指这类集成稳压器只有三个管脚输出电压固定,这类集成稳压器分成两大类。一类是78××系列,78标识为正 输出电压,××表示电压输出值。另一类是79××系列,79表示为负输出电压,××表示 电压输出值。

北交大毕业论文撰写要求

北京交通大学毕业论文撰写要求 一、毕业设计(论文)题目的类型 毕业设计(论文)的题目很多,大致可归纳为四大类型: 1.设计性课题。根据设计指导书,理解设计主导思想和基本要求,检索有关资料、制订初步设计方案进行设计。设计完成后还可通过制作与调试,分析结果可否满足设计要求,再对原设计做进一步的修改和完善。 2.应用性课题。该类课题又可分为硬件类、软件类和软硬件结合类。任务布置需要明确具体,软件类课题须有流程图,源程序和文档;硬件类课题须有技术指标,设计方案和电路原理图等相应图纸;实验过程和调试报告也应包含在毕业设计(论文)之中。 3.工程性课题。主要内容包括现场调研、方案比较、设备选型、施工计划、设备安装调试、开通、最终结论等。 4.研究性课题。追踪现代科学研究的最新发展,了解本领域中同行的研究情况,全面搜集各程相关资料,在消化吸收的基础上提出自己的观点和建议。 二、毕业设计(论文)选题、写作的要求 1. 毕业设计(论文)选题一定要结合学员自己工作实际选择自己较熟悉的专业方向,毕业论文所用数据、佐证资料尽量是本单位的。通过论文的写作,解决工作中所遇到的实际问题,达到提高学员业务水平的要求。

2.毕业论文选题要避免假、大、空,要具体并有针对性。 3. 论文写作不能抄袭,可以借鉴别人的观点,资料运用可以运用站细,但不能整篇不加整理地运用,要符合论文主题的要求,说明自己观点。 4. 论文写作过程中要熟读相关资料,在理解的基础上加以运用。论文的论点、论据、论证要通顺,具有一定的逻辑性。 5. 在论文写作过程中要熟知论文所涉及的专业知识,专业基础知识,特别要结合自己所从事的工作,对相关的专业基础和专业知识加以理解和掌握,例如:运输设备、铁道概论及专业方面的知识等。 6. 学员要亲自撰写毕业设计(论文) 三、毕业论文的规范要求 1.毕业论文的组成 毕业论文由封面、毕业设计(论文)成绩评议、毕业设计(论文)任务书、毕业设计(论文)开题报告、指导教师评阅意见、评阅教师意见、答辩小组评阅意见、中文摘要、英文摘要、目录、正文、参考文献、附录等十三部分组成。 封面:由学校统一印制,按要求填写。论文题目一般不超过25个字,要简练准确,可分二行书写; 任务书:装订于指定位置,指导教师签字后生效; 开题报告:由学生认真书写,经指导教师签字后的开题报告有效;

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

北京交通大学大学物理学_下_答案

新教材下册习题解答(教师用) 第12章 12.1 一个封闭的立方体形的容器,内部空间被一导热的、不漏气的、可移动的隔板分为两部分,开始其内为真空,隔板位于容器的正中间(即隔板两侧的长度都为l 0),如图12-30所示.当两侧各充以p 1,T 1与 p 2,T 2的相同气体后, 长度之比是多少)? 解: 活塞两侧气体的始末状态满足各自的理想气体状态方程 左侧: T pV T V p 111= 得, T pT V p V 1 11= 右侧: T pV T V p 222= 得, T pT V p V 2 22= 122121T p T p V V = 即隔板两侧的长度之比 1 22121T p T p l l = 12.2 已知容器内有某种理想气体,其温度和压强分别为T =273K,p =1.0×10-2 atm ,密度32kg/m 1024.1-?=ρ.求该气体的摩尔质量. 解: nkT p = (1) nm =ρ (2) A mN M = (3) 由以上三式联立得: 12.3 可用下述方法测定气体的摩尔质量:容积为V 的容器内装满被试验的气体,测出其压力为p 1,温度为T ,并测出容器连同气体的质量为M 1,然后除去一部分气体,使其压力降为p 2,温度不变,容器连同气体的质量为M 2,试求该气体的摩尔质量. 解: 221V p V p = (1) ( )()RT M M M V V p 21 22-=- (2) (1)、(2)式联立得: ()()()V p p RT M M V p V p p RT M M M 21212 1221--= ??? ? ??--= 12.4在实验室中能够获得的最佳真空相当于大约10-14atm (即约为10-10mmHg 的压强),试问在室温(300K )下在这样的“真空”中每立方厘米内有多少个分子? 解: 由nkT p = 得, 12.5已知一气球的容积V =8.7m 3,充以温度t 1=150 C 的氢气,当温度升高到370 C 时,维持其气压

北京交通大学本科毕业设计规范

北京交通大学 本科生毕业设计(论文)规范 第一章 总则 第一条 为进一步规范本科生毕业设计(论文)工作,提高毕业设计(论文)质量,结合学校实际,特制订本规范。 第二条 毕业设计(论文)是本科培养方案的组成部分,是学生在教师指导下运用所学理论、知识和技能,分析解决理论和实际问题的综合训练环节,是培养和提高学生写作能力、实践能力和创新意识的重要途径。 第三条 毕业设计(论文)要体现学校人才培养的目标与要求。论文可结合专业特点,在体裁方面体现多样性,采取毕业设计、学术论文等形式进行。 第四条 教务处统一布置毕业设计(论文)工作,对各环节工作进行监督、协调和评价。学院负责毕业设计(论文)具体组织管理工作。 第二章 毕业设计(论文)选题 第五条 毕业设计(论文)的选题应符合专业培养目标,满足人才培养基本要求,使学生在专业知识应用方面得到比较全面的训练。论文题目应与社会、生产、科研和实验室建设等实际任务相结合,内容应属于学生所学专业或相关专业的范围。题目难度和工作量应适合学生的知识、能力、相应的实验条件和毕业设计所规定的时间,使学生经过努力能够完成。 学校鼓励学生结合国家大学生创新创业训练计划项目和北京市大学生科学研究与创业行动计划项目成果拟定毕业设计(论文)题目。学校鼓励采用实际项目作为毕业设计(论文)题目。 第六条 毕业设计(论文)选题要注重科学研究方法和创新方法的训练,综合考虑经济、环境、法律、安全、健康、伦理等制约因素。理论研究的选题应满足科学研究的基本规律,覆盖科学研究的各个方面。工程设计的选题要符合工程实际要求,涵盖工程设计的各个环节。 第七条 毕业设计(论文)要达到对学生进行全面综合训练的目的。一个学生一个题目。几个学生共同完成一个大课题时,每个学生必须要有独立完成的任

北邮数电实验报告

北京邮电大学 实验报告实验名称:数电电路与逻辑设计实验 学院:信息与通信工程学院 班级: 姓名: 学号: 班内序号: 日期:

一. 实验一:Quartus II 原理图输入法设计 1. 实验名称和实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块 元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号入信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数 F=A B C +A B C +A B C + A B C 。 2. 实验原理图及波形图 (1)半加器 (2)全加器

(3)74LS38 3.仿真波形图分析 (1)半加器: 输入为a,b,输出S,CO(进位)。 当ab都为0时,半加和s=0,进位端co=0。 当ab都为1时,半加和s=0,进位端co=1。 当a=1,b=0 或a=0,b=1时,半加和s=1,进位端co=0。 (2)全加器:

输入a,b,输出S,CO(进位),ci(低进位)。 当a=0,b=0,ci=0,输出s=0,co=0。 当a=0,b=1或a=1,b=0又 ci=0,输出s=1,co=0。 当a=0,b=0,ci=1,输出s=1,co=0。 (3)74LS138 输入A,B,C,输出为3。 四个输出对应F中的四个最小项,Y0、Y2、Y4、Y7,以实现函数功能。 二.实验二:用 VHDL 设计与实现组合逻辑电路 1.实验名称和实验任务要求 (1)用VHDL语言设计实现一个共阴极7段数码管译码器,仿真验证其功能。要求用拨码开关设定输入信号,7段数码管显示输出信号。 (2) 用VHDL语言设计实现一个8421码转换为余3码的代码转换器,仿真验证其功能。要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3) 用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个’1’时,输出为’1’,否则输出’0’,仿真验证其功能。要求用拨码开关设定输入信号,发光二极管显示输出信号。 2.实验代码及波形图 (1)共阴极7段数码管译码器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY GUAN IS PORT(A:IN STD_LOGIC_VECTOR(3 DOWNTO 0); B:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); C:OUT STD_LOGIC_VECTOR(5 DOWNTO 0)); END GUAN; ARCHITECTURE encoder_arch OF GUAN IS BEGIN PROCESS(A) BEGIN C<="011111"; CASE A IS WHEN"0000"=> B<="1111110";--0 WHEN"0001"=> B<="0110000";--1 WHEN"0010"=> B<="1101101";--2 WHEN"0011"=> B<="1111001";--3 WHEN"0100"=> B<="0110011";--4 WHEN"0101"=> B<="1011011";--5 WHEN"0110"=> B<="1011111";--6 WHEN"0111"=> B<="1110000";--7 WHEN"1000"=> B<="1111111";--8 WHEN"1001"=> B<="1111011";--9

2016年北邮数电实验报告

数字电路与逻辑设计 实验报告 学院:电子工程学院 班级: 姓名: 学号: 班内序号:

目录 (一)实验名称及实验任务要求 (1) (二)模块端口说明及连接图 (2) 1.1实验三(3)模块端口说明 (2) 1.2实验三(3)连接图 (2) 2.1实验四模块端口说明 (2) 2.2实验四连接图 (2) (三)原理图或VHDL代码 (3) 1.实验一(2)原理图 (3) 2.实验三(3)VHDL代码 (4) 3.实验四VHDL代码 (7) (四)仿真波形 (10) 1.实验一(2)仿真波形 (10) 2.实验三(3)仿真波形 (11) 3.实验四仿真波形 (11) (五)仿真波形分析 (11) 1.实验一(2)仿真波形分析 (11) 2.实验三(3)仿真波形分析 (11) 3.实验四仿真波形分析 (11) (六)故障及问题分析 (12) (七)总结和结论 (13)

(一)实验名称及实验任务要求 实验一 名称:QuartusII原理图输入法设计与实现 实验任务要求:EDA基础实验1(1)、(2)、(3)必做,选做VHDL 实现加法器。 实验二 名称:用VHDL设计与实现组合逻辑电路 实验任务要求:四人表决器、8421码转格雷码、数码管译码器(下载测试)。 实验三 名称:用VHDL设计与实现时序逻辑电路 实验任务要求:分频器、8421十进制计数器、将分频器/8421十进制计数器/数码管译码器3个电路进行连接并下载。 实验四 名称:用VHDL设计与实现相关电路 实验任务要求:数码管动态扫描控制器、点阵扫描控制器。

(二)模块端口说明及连接图 1.1实验三(3)模块端口说明 cp:时钟信号输入; rst:8421十进制计数器异步置位; c[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 1.2实验三(3)连接图 2.1实验四模块端口说明 cp:时钟信号输入; rst:8421计数器异步复位; lgt[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 2.2实验四连接图

北邮数电实验报告

北京邮电大学实验报告 实验名称:数字电路与逻辑设计实验报告 学院:信息与通信工程学院 班级: 姓名: 学号: 序号: 日期:

实验三:用VHDL语言设计与实现逻辑电路 一、实验内容 1. 用VHDL语言设计实现一个带异步复位的8421码十进制计数器,仿真验证其功能,并下载到实验版测试。要求用按键设定输入信号,发光二极管显示输出信号; 2.用VHDL语言设计实现一个分频系数为12,分频输出信号占空比为50%的分频器,仿真验证其功能; 3.将(1),(2)和数码管译码器3个电路进行连接,并下载到实验板显示计数结果。 二、模块端口说明及连接图 1.分频器 2. 计数器 clk: 时钟输入信号 clk: 时钟信号输入 clear: 复位信号输入 clear: 复位信号输入 clk_out: 时钟分频后的信号输出 q: 计数器的输出 3.数码管显示 b: 数码管的输入信号 seg: 译码显示输出 onoff: 数码管的输出控制

4.连接图 三、实验分析 1.设计思路 本实验将之前的分频器和计数器以及数码管显示模块组合起来,实现了单个数码管现显示0~9,每隔0.5s切换一次显示内容。 COMPONENT div_12实现了时钟分频,将50MHz的单片机晶振时钟进行分频,输出频率2HZ占空比50%的方波时钟,以此时钟作为内部时钟驱动计数器。 COMPONENT jishuqi是一个十进制计数器,NUM从“0000”到“1001”循环变化,模为10。计数器的输出传递给数码管译码显示电路。 COMPONENT seg7_1是数码管译码显示电路,将收到的信号NUM译码并控制数码管的段锁存来控制数码管的显示。 整体来看,div-12提供了分频后2Hz的时钟,驱动计数器计数,计数的结果作为数码管译码显示模块的输入,根据计数器实时的数进行数码管的显示。综合起来就实现了设计的功能。 在进行电路的连接时,可直接在代码中分成三个进程来实现,也可通过为每个模块建立符号,连接电路图来实现。 2. 具体代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpinjishu IS PORT( clear2 :IN STD_LOGIC; clk1:IN STD_LOGIC; b1:OUT STD_LOGIC_VECTOR(6 downto 0); CAT:OUT STD_LOGIC_VECTOR(7 downto 0) );

北京市大学生物理实验竞赛方案

北京市大学生物理实验竞赛方案

附件2: 北京市大学生物理实验竞赛方案 在成功举办和两届北京市大学生物理实验竞赛的基础上,根据市教委《关于印发北京市大学生学科竞赛管理办法的通知》(京教高办〔〕2号)精神,我委决定委托北京交通大学承办北京市大学生物理实验竞赛。为保证比赛公平顺利进行,制定本方案。 一、竞赛目的举办大学生物理实验竞赛是为了激发大学生对物理实验的兴趣与潜能,使学生广泛参与到物理实践中来;在实践中培养、提高大学生的创新能力、实践能力和团队协作意识;促进物理实验教学改革,不断提高大学物理实验教学的质量,为高素质人才培养奠定基础。 二、竞赛主题与内容 (一)本次竞赛共设4个题目,详见北京市大学生物理实验竞赛题目。(二)竞赛方式及要求 1.每组参赛选手限选其中一个题目在本校进行准备并完成全部实验。实验所需设备及费用由各校自行解决,所需通用仪器和特殊需要的仪器,请提前通知竞赛秘书组。 2.参赛学生须预先提交《北京市大学生物理实验竞赛项目说明》及

《北京市大学生物理实验竞赛推荐教师初评表》。竞赛时,参赛队伍需携带参赛作品,当场操作或陈述论文,并进行答辩。 3.参赛作品应力求做到原理明确,装置简便且易于操作,方法巧妙且手段新颖、有特色,现场操作规范,测量结果准确,陈述清晰,回答问题正确。 三、报名与参赛 (一)参赛条件 参赛对象为在京各类普通高等学校秋季学期在校本科大学生。 (二)报名方式 1.请参赛学校将报名信息表,于7月2日前报送竞赛组委会办公室。 2.学生参赛报名由各高校统一组织,每校限报6个队(论文组为2队或以上者,可报7队),每队不超过3名学生。 3.学生参赛报名截止日期为10月30日,由学校统一将电子版发至联系人信箱。 四、竞赛时间及地点 竞赛定于11月14日在北京交通大学举行。11月7日参赛人员可到竞赛现场熟悉环境或预作。 五、奖励

北邮数电实验分析报告-信息

北邮数电实验报告-信息

————————————————————————————————作者:————————————————————————————————日期:

数字电路与逻辑设计实验 姓名*** 学院信息与通信工程学院 专业信息工程 班级*** 学号**** 班内序号***

实验一 一、实验名称和实验任务要求 1.实验内容:QuartusII原理图输入法设计与实现。 2.实验目的: (1)熟悉用QuartusII原理图输入法进行电路设计和仿真。 (2)掌握QuartusII图形模块单元的生成与调用。 (3)熟悉实验板的使用。 3.实验任务要求: (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图像模块。 (2)用实验内容1中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板上测试。要求用拨码开关设定输入信 号,发光二极管显示输出信号。 (3)用3线—8线译码器(74LS138)和逻辑门实现函数 F=(/)(/)(/)+(/)(/)+(/)(/)+,仿真验证其功能,并下载到实 验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 二、原理图 半加器模块和逻辑门设计实现的全加器: 三、仿真波形图及分析 电路实现了全加器的功能。全加器是实现两个1位二进制数及低位来的进位相加求得和数及向高位进位的逻辑电路。由其原理可得逻辑表达式:sum=ain⊕bin⊕cin

cout = (ain⊕bin)cin + ain*bin。 列出真值表: 输入输出 ain bin cin cout sum 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 仿真波形对比真值表,可以看出波形图与理论值完全符合。 四、故障及问题分析 1、问题:按照逻辑表达式连接了全加器电路后,仿真波形很乱。 解决方法:思考后知道了应该把输入信号依次设成2的n次方,这样的仿真波形清楚容易分析。 2、问题:把代码下载到板子上的过程中,进行到37%的时候停了,等了2分钟 也没继续下载。 解决方法:再次重连USB尝试下载,手紧握着接线口,下载成功了,分析可能是接线口接触不好。 实验二 一、实验名称和实验任务要求 1.实验内容:用VHDL设计与实现组合逻辑电路。 2.实验目的: (1)熟悉用VHDL语言设计组合逻辑电路的方法。 (2)熟悉用QuartusII文本输入法进行电路设计。 3.实验任务要求: (1)用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个‘1’时,输出为‘1’,否则输出‘0’,仿真验证其功能,并下载到实验板测 试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 (2)用VHDL语言设计实现一个8421码转换为余3码的代码转换器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号, 发光二极管显示输出信号。

北邮-数电实验报告

北邮-数电实验报告

数字电路实验报告 学院:信息与通信工程 专业:信息工程 班级:2013211125 学号:2013210681 姓名:袁普

②:仿真波形图以及分析 波形图: 波形分析:通过分析ab ci三个输入在8中不同组合下的输出,发现与全加器的真值表吻合,说明实现了全加器的逻辑功能。同时看见波形中出现了毛刺(冒险),这也与事实一致。 ③:故障及问题分析 第一次在做全加器的时候发现找不到已经生成的半加器模块,后来发现是因为在建立工程时这两个项目没有建在同一个文件夹里,在调用的时候就找不到。后来我将全加器工程建在同一个文件夹里解决了此问题。

实验二:用VHDL设计和实现组合逻辑电路 一:实验要求 ①:用VHDL设计一个8421码转换为格雷码的代码转换器,仿真验证其功能。 ②:用VHDL设计一个4位二进制奇校验器,要求在为奇数个1时输出为1,偶数个1时输出为0,仿真验证其功能。 ③:用VHDL设计一个数码管译码器,仿真验证其功能,下载到实验板测试,要求用拨码开关设定输入信号,数码管显示输出信号,并且只使一个数码管有显示,其余为熄灭状态。 二:故障及问题分析 在刚开始实现让一个数码管显示的时候,我本来准备再设置6个输入和输出,通过实验板上的拨码来输入信息分别控制不同的数码管的的开闭状态,但是后来发现这样效率很低而且实验板上的拨码开关数量根本不够。在老师的提醒下,我最终在VHDL里直接增加了一个向量输出”011111”来直接控制cat0~5六个管脚,从而达到了实验的要求。

实验三:用VHDL设计和实现时序逻辑电路 一:实验要求 ①:用VHDL语言设计实现一个8421十进制计数器,要求有高电平复位功能,仿真验证其功能。 ②:用VHDL语言设计实现一个分频系数为12,输出为占空比50%方波的分频器,有高电平复位功能,仿真验证其功能。 ③:将(1),(2)和数码管译码器三个电路进行连接,仿真验证其功能,并下载到实验板进行测试,要求第三个数码管显示数字。二:报告内容 ①实验三(3)模块端口说明及模块代码 模块一:div12为一个有高电平复位功能的分频系数为12的分屏器,其输出是一个占空比50%的方波。此模块输入连接一个时钟输入,即可在输出端得到一个周期更大的方波输出。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity div12 is port( clear,clk:in std_logic; clk_out:out std_logic ); end div12; architecture struct of div12 is signal temp:integer range 0 to 5; signal clktmp:std_logic; begin process(clk,clear) begin if(clear='1') then

北京邮电大学数字电路实验迷宫游戏

数字电路综合实验报告 学院:信息与通信工程学院 班级: 班内序号: 姓名: 学号: 选作题目:简易迷宫游戏

一、课题的任务要求 1、基本要求: 1)用8×8点阵进行游戏显示。 2)迷宫游戏如下图所示,采用双色点阵显示,其中红色LED为迷宫墙壁, 绿色LED表示人物。通过BTN0~BTN3四个按键控制迷宫中的人物进 行上下左右移动,使人物从起始点出发,走到迷宫的出口,游戏结束。 3)普通计时模式:通过按键BTN7启动游戏,必须在30秒内找到出口,否 则游戏失败,用两个数码管进行倒计时显示。游戏胜利或者失败均要在 8×8点阵上有相应的画面出现。 4)迷宫中的人物在行走过程中,如果碰到墙壁,保持原地不动。 2、提高要求: 1)多种迷宫地图可以选择。 2)在计时的基础上增加计步的功能,每按一次控制按键步数加1,碰壁不计 算步数,计步结果用数码管显示。 3)为游戏增加提示音乐,在不同时间段采用不同频率的信号控制蜂鸣器发 声报警。 4)增加其他游戏模式。 5)自拟其它功能。 二、系统设计(包括设计思路、总体框图、分块设计) 1、整体设计思路: 通过分析迷宫游戏的特点,将迷宫游戏的实现分为三大核心功能模块,一是控制模块controller,是整个游戏的“枢纽”,负责处理玩家的输入信号,控制整个游戏阶段的跳转,游戏胜负的判断,以及输出相应显示模块的控制信号。二是计时兼数码管显示模块timer,负责倒计时以及倒计时的显示,游戏已走步数的显示,并产生蜂鸣器的控制信号。三是点阵显示模块lattice,通过接收控制模块的控制信号,控制不同游戏模式或状态下的点阵输出。其他次要模块主要为:分频模块,防抖模块,蜂鸣器驱动模块。 迷宫游戏的划分方框图如下: 图2.1 迷宫游戏的逻辑划分方框图

北京交通大学电路分析实验2.1

电路分析实验 实验2.1 直流电路分析和仿真 学号;

一.实验目的 1.学习Multisim建立电路,分析直流电路的方法。 2.熟悉Multisim,分析仿真模式中输出结果的常用后处理方法。 3.掌握伏安特性的仿真测量。 4.通过实验加深对叠加定理和戴维南定理的理解。 二.实验内容 1.测量二极管的伏安特性 (1)建立如实验图2-1-1所示的仿真电路 图2-1 (2)启动Simulate菜单中Analyses下的DC Sweep命令,使用“直流扫描”工具,设置电压源V1从0到2.0V按步长0.001V变化,输出二极管D1电流,得到如图2-1-1的伏安特性曲线 2.验证叠加定理 (1)建立如图2-2-1所示的仿真电路

(2)启动仿真开关后分别在每种电源独立作用和共同作用时,用电压表测量各支路电压,记录在自己设计的表格里,验证叠加定理。 图2-2-1 电路仿真测量值

由于理论值与仿真数据完全一致, 且 U1(V1+V2)=U1(V1)+U2(V2); U1(V1+V2)=U1(V1)+U2(V2); U1(V1+V2)=U1(V1)+U2(V2); 所以各支路电压符合叠加定理。 3.求取戴维南等效电路 (1)建立如实验图2-3所示的仿真电路,其中a,b左端为需要等效的端口,电流源为外加测试电源。 (2)用直流扫描分析方法求出a、b左端点的戴维南等效电路参数。让测试电流源从0变化到10mA,测试得到的扫描曲线,得到a,b端点的开路电压和等效电阻。

理论计算值 V=R3/(R1+R3)*V1=8.2500 V,R=R1//R3+R2=708.5Ω 通过光标取值得到开路电压 V=8.2500 V,等效电阻 R=708.5Ω . 与计算结果一致. 4.验证最大功率传输定理 (1)将实验图2-3所示的仿真电路中的测试电流换为一个负载电阻,如实验图2-4所示,利用参数扫描分析验证最大功率传输定理。 (2)选择Simulate/Analyses/Parameter sweep,设定R4电阻从500Ω变化到1.6KΩ,步长为0.5Ω,输出选择为R4的功率。启动分析仿真后得到R4功率随其阻值变化的曲线。 (3)打开测量游标,查找曲线最大值,得到最大功率值及其对应的负载电阻值。 实验图2-4

北邮数电综合实验电子沙漏的设计与实现

北京邮电大学数电综合实验报告 实验名称:电子沙漏的设计与实现 学院:信息与通信工程学院 姓名: 班级: 学号: 班内序号:

一、实验设计的基本要求 沙漏是一种古老的计时工具,也是一种玩具。电子沙漏用发光二极管表示沙粒,模拟沙漏的运动过程。电子沙漏会像真正的沙漏一样,上部的沙粒(点亮的发光二极管)一粒一粒往下掉,下部的沙粒一粒一粒堆起来。 1、采用 8*8 双色点阵显示电子沙漏的开机界面,如图 2 所示。其中红色 LED 代表沙漏的上半部分沙粒 VD0~VD15,绿色 LED 代表沙漏的下半部分 VD0'~VD15'。 2、用拨码开关 SW1 模拟重力感应器。当 SW1 为低电平时,沙粒从VD0~VD15 向 VD0'~VD15'移动;当 SW1 为高电平时,沙粒从 VD0'~VD15'向 VD0~VD15 移动。 3、按键 BTN0 作为计时启动停止按键,启动后沙粒即可按照 SW1 设定的方向移动, 以 SW1 为低电平时为例,LED 移动的顺序与对应关

系如图 3 的1~16所示(若 SW1 为高电平,则点阵显示移动顺序为 16~1)。每颗沙粒的移动时间为 1 秒,当移动到图 3 的16时,若 SW1 仍为低电平,则保持沙粒不动,但计时继续,直到 SW1 的电平发生变化或者 BTN0 计时停止。

4、设计实现一个 60 秒计时器,当按键 BTN0 启动时开始工作,用于在沙粒移动过程中进行计时校准,并用数码管 DISP0~DISP1 显示计时结果。 提高要求: 1、可以调节控制电子沙漏的流动速度。? 2、用多种方式呈现电子沙漏界面。? 3、自行设定沙粒的移动路径,显示每颗沙粒的移动过程。 4、外接重力感应器,实现真实的电子沙漏功能。? 5、自拟其它功能。 二、系统设计 1、设计思路 实验比较复杂,故采用分模块设计的思想,将模块分为了分频模块、控制模块、数码管显示模块、8*8点阵显示模块。 由于本实验需要用BTN0按键来控制时间和沙漏的开始运行以及时间的暂停功能,故需要检测输入,此时就要用到防抖模块,防止在按下按键时有多个上升沿产生导致开关并不能完美的发挥作用。 控制模块是用来实现具体的操作的,通过对按下BTN0按键的次数统计,将其分为奇数与偶数两种情况,在奇数时使功能正常运行,在统计为偶数时使时间暂停,以此来实现对此系统的控制。

光栅物理实验报告

题目:光栅 作者:姓名:XX 学号:1028XXXX 班级:安全1001 单位:北京交通大学计算机与信息技术学院 摘要: 光栅是一种非常重要的光学元件。本论文主要讨论光栅的分类、原理、效果与鉴别。 关键词: 光栅、原理、种类、效果、鉴别 引言: 光栅是结合数码科技与传统印刷的技术,能在特制的胶片上显现不同的特殊效果。在平面上展示栩栩如生的立体世界,电影般的流畅动画片段,匪夷所思的幻变效果。 光栅是一张由条状透镜组成的薄片,当我们从镜头的一边看过去,将看到在薄片另一面上的一条很细的线条上的图像,而这条线的位置则由观察角度来决定。如果我们将这数幅在不同线条上的图像,对应于每个透镜的宽度,分别按顺序分行排列印刷在光栅薄片的背面上,当我们从不同角度通过透镜观察,将看到不同的图像。 正文: 光栅主要有狭缝光栅和柱镜光栅两类,狭缝光栅即线型光

栅是最早较为成熟的光栅,其成像原理为针孔成像的原理。因这种光栅比较容易制作,技术难度不大,所以在十几年前就有制作非常优美的大幅狭缝光栅立体灯箱广告出现。现今一些立体制作公司仍乐于用狭缝光栅立体灯箱参与展览,效果是不错,但狭缝光栅立体灯箱有以下缺陷:透光率仅20-30% ,不环保,不节能,照明灯多耗能大,发热大,室外亮度不够,仅适用于室内。 柱镜光栅种类繁多主要有板材和模材两大类,其成像原理为弧面透镜折射反射成像原理。柱镜光栅潜力较大,室内外打不打灯都可使用,市场普及率正不断扩大。光栅膜材曾一度因具有价格竞争力而风靡过一阵,但由于现在柱镜光栅板价格的逐步下降,以及膜材需要粘贴及技术还有待提高的原因使其竞争力未显突出。 其原理如下: 光栅也称衍射光栅。是利用多缝衍射原理使光发生色散(分解为光谱)的光学元件。它是一块刻有大量平行等宽、等距狭缝(刻线)的平面玻璃或金属片。光栅的狭缝数量很大,一般每毫米几十至几千条。单色平行光通过光栅每个缝的衍射和各缝间的干涉,形成暗条纹很宽、明条纹很细的图样,这些锐细而明亮的条纹称作谱线。谱线的位置随波长而异,当复色光通过光栅后,不同波长的谱线在不同的位置出现而形成光谱。光通过光栅形成光谱是单缝衍射和多缝干涉的共同结果。

北京邮电大学数字电路实验报告

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能,并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器能够由两个半加器和一个或门构成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表 示式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)(

231101 北交《电路(含实验)》在线作业二 15秋答案.

北交《电路(含实验)》在线作业二 一、单选题(共 10 道试题,共 30 分。) 1. R=10Ω,=1uF与电感L串联,接到频率为1000Hz的正弦电压源上,为使电阻两端电压达到最高,电感L应取:() . 1H . 1/2лH . 1/2H . 1/(4л2)H 正确答案: 2. 正电荷从元件上电压的“+”极经元件运动到元件的“-”极,电场力做的是(),这时,元件()能量。 . 做正功吸收 . 做正功释放 . 做负功吸收 . 做负功释放 正确答案: 3. 一个元件的电压电流为关联参考方向,若电流I=-3,元件产生的功率是12w,则电压=()V。 . -4 . -1/4 . 4 . 36 正确答案: 4. 电感元件L1与L2并联,其等效电感L=() . L1+L2 . L1-L2 . L1L2 . L1L2/(L1+L2) 正确答案: 5. 已知电流i=4sin(314t-45°),当它通过2Ω的电阻时,电阻所消耗的功率是( )W . 32 . 8 . 16 . 10 正确答案: 6. 一个实际电路的电路模型() . 是唯一的

. 在不同应用条件下可以有不同的形式 . 是具有某种确定电磁性质的真实元件 . 不具有精确的数学定义 正确答案: 7. 具有互易性的电路一定是线性电路,凡是线性电路() . 一定具有互易性 . 一定不具有互易性 . 含受控源时一般不具有互易性 . 含受控源时一般具有互易性 正确答案: 8. 电感元件吸收的能量是以()的形式储存在元件的()中。. 电场能量,磁场 . 电场能量,电场 . 磁场能量,磁场 . 磁场能量,电场 正确答案: 9. 下列各部分中,哪一个不是线性电路的组成部分()。 . 时不变线性无源元件 . 线性受控源 . 独立电源 . 集总元件 正确答案: 10. 下列几项中,哪一对不是对偶元素的?() . 电压-电流 . 电阻-电导 . 电容-电阻 . 电容-电感 正确答案: 北交《电路(含实验)》在线作业二 二、多选题(共 10 道试题,共 40 分。) 1. 在纯电阻交流电路中,() . 电压与电流同频 . 电压与电流同相 . 电压与电流相位不同 . 电压与电流频率不同

相关文档
最新文档