SystemC和SystemVerilog的比较

合集下载

systemverilog 可综合 语法

systemverilog 可综合 语法

systemverilog 可综合语法-概述说明以及解释1.引言1.1 概述SystemVerilog是一种硬件描述语言,其可综合语法用于描述硬件设计的行为和结构。

可综合语法是指在编写SystemVerilog代码时,能够被综合工具翻译成底层硬件电路,并最终映射到FPGA或ASIC等可编程器件上的语法规则和风格。

因此,可综合语法在硬件设计中起着至关重要的作用。

在硬件设计中,可综合语法使设计工程师能够通过代码描述硬件的功能和结构,包括处理器、逻辑电路、存储器等。

通过使用可综合语法,设计工程师可以更加灵活地实现各种功能和性能要求,同时也能提高设计的可维护性和可重用性。

SystemVerilog的可综合语法特点是其结构化的设计风格,丰富的数据类型和内置的高级语言功能。

与传统的硬件描述语言相比,SystemVerilog提供了更多的抽象层次和编程特性,可以更高效地完成复杂的硬件设计任务。

例如,SystemVerilog支持面向对象的设计方法,可以使用类和对象对设计进行建模和封装。

此外,SystemVerilog还提供了多种数据类型和运算符,使设计工程师可以更方便地处理各种数据和信号。

综上所述,可综合语法在SystemVerilog中具有重要的地位和作用。

通过使用可综合语法,设计工程师能够更加方便地描述和实现各种硬件功能,提高设计的效率和可靠性。

在今后的硬件设计中,可综合语法的应用将更加广泛,并且不断发展和完善,以满足不断变化的设计需求。

1.2 文章结构文章结构部分的内容可以包括以下内容:文章结构的目的是为了给读者提供清晰的导航和理解文章的逻辑框架。

通过合理的结构,读者可以更好地理解文章的目的和内容,并能够有序地阅读和理解整个文档。

本文的结构如下:第一部分是引言部分,用于介绍文章的背景和相关信息。

在引言部分,我们将概述SystemVerilog可综合语法的定义和作用,并介绍本文的结构和目的。

第二部分是正文部分,主要内容是关于SystemVerilog可综合语法的定义和特点。

雷达技术编程语言

雷达技术编程语言

雷达技术编程语言雷达技术编程语言的选择主要取决于雷达系统的复杂性和应用需求。

通常,雷达系统开发涉及多种编程语言和技术,以实现不同的功能和优化性能。

以下是一些常用的雷达技术编程语言:1. C/C++:这是一种通用编程语言,广泛应用于雷达系统开发。

C/C++ 提供了对底层硬件的直接访问,以及对性能和实时性的高度控制。

它们是系统级编程和嵌入式系统开发的首选语言。

2. Python:Python 是一种高级、动态类型的解释型语言,易于学习和使用。

它经常用于雷达数据处理、算法开发和系统集成等任务。

Python 有大量的科学计算和数据分析库,如 NumPy、SciPy 和 Pandas,这使得它在雷达应用中非常有用。

3. MATLAB:MATLAB 是一种用于算法开发、数据可视化、数据分析和数值计算的编程语言和环境。

它广泛应用于雷达信号处理和算法开发,因为它的矩阵运算和图形化工具箱特别适合这些任务。

4. LabVIEW:LabVIEW 是一种图形化编程语言,专为工程师和科学家设计。

它适用于实时系统和嵌入式系统的开发,特别是那些需要与硬件紧密集成的系统。

LabVIEW 在雷达系统开发中常用于测试和测量应用。

5. SystemC:SystemC 是一种用于系统级建模和仿真的编程语言。

它基于C++,并添加了用于描述硬件行为的特定库和机制。

SystemC 在雷达系统设计和验证中非常有用,特别是在 SoC(系统级芯片)和 ASIC(应用特定集成电路)开发中。

6. SystemVerilog:SystemVerilog 是一种硬件描述和验证语言,结合了硬件描述语言(如 Verilog)和面向对象编程(OOP)的元素。

它用于在雷达系统设计中进行硬件验证和测试。

在选择编程语言时,需要考虑项目的具体需求,包括性能要求、实时性需求、系统复杂性以及团队的技术专长。

同时,还需要考虑与硬件的集成、代码的可移植性和可维护性等因素。

在许多情况下,最佳方案是将多种编程语言和技术结合起来使用,以实现最佳的性能和灵活性。

SystemVerilog语言知识介绍

SystemVerilog语言知识介绍

SystemVerilog语言知识介绍SystemVerilog是一种硬件描述与验证语言(HDVL),它基于IEEE 1364-2001 Verilog硬件描述语言(HDL),并对其进行了扩展,包含扩充了C语言数据类型、结构、压缩与非压缩数组、接口、断言等等,这些都使得SystemVeri log在一个更高的抽象层次上提高了设计建模的能力。

Syst emVerilog由Accellera开发,它要紧定位在芯片的实现与验证流程上,并为系统级的设计流程提供了强大的连接能力。

下面我们从几个方面对SystemVerilog所作的增强进行简要的介绍,期望能够通过这个介绍使大家对SystemVeril og有一个概括性的熟悉。

1. 接口(Interface)Verilog模块之间的连接是通过模块端口进行的。

为了给构成设计的各个模块定义端口,我们务必对期望的硬件设计有一个全面的认识。

不幸的是,在设计的早期,我们很难把握设计的细节。

而且,一旦模块的端口定义完成后,我们也很难改变端口的配置。

另外,一个设计中的许多模块往往具有相同的端口定义,在Verilog中,我们务必在每个模块中进行相同的定义,这为我们增加了无谓的工作量。

SystemVerilog提供了一个新的、高层抽象的模块连接,这个连接被称之接口(Interface)。

接口在关键字interfac e与endinterface之间定义,它独立于模块。

接口在模块中就像一个单一的端口一样使用。

在最简单的形式下,一个接口能够认为是一组线网。

比如,能够将PCI总线的所有信号绑定在一起构成一个接口。

通过使用接口,我们在进行一个设计的时候能够不需要首先建立各个模块间的互连。

随着设计的深入,各个设计细节也会变得越来越清晰,而接口内的信号也会很容易地表示出来。

当接口发生变化时,这些变化也会在使用该接口的所有模块中反映出来,而无需更换每一个模块。

下面是一个接口的使用实例:实际上,SystemVerilog的接口不仅仅能够表示信号的绑定与互连。

verilog与C语言的6点重大区别

verilog与C语言的6点重大区别

verilog与C语⾔的6点重⼤区别
本⽂摘抄夏⽼师的书————————
1. 在verilog模块中所有过程(eg:initial块、always块)、连续赋值语句、实例引⽤都是并⾏的。

2. 它们表⽰的是⼀种通过变量名的相互连接的关系。

(这点很重要,verilog最终对应的是实实在在的物理电路)
3. 在同⼀个模块中各个过程块、各条连续赋值语句和各条实例引⽤语句这三者出现的先后顺序没有关系
4. 只有连续赋值语句(即⽤关键词assin引出的语句)和实例引⽤语句(即⽤已定义的模块名引出的语句),可以独⽴于过程块存在⽽在
模块的功能定义部分。

5. 被实例引⽤的模块,其端⼝可以通过不同的连线或寄存器类型变量连接到别的模块相应的输出输⼊信号端
6. 在always模块内被赋值的的每⼀个信号都必须被定义为reg 类型。

FPGA设计方法汇总

FPGA设计方法汇总

FPGA设计方法汇总FPGA(Field Programmable Gate Array)是一种可编程的硬件设备,通过配置相关的逻辑门、寄存器和其它特定功能模块,可以按照用户的需求实现不同的电路功能。

设计FPGA时需要考虑多种因素,如设计流程、开发工具、语言选择以及验证方法等。

以下是FPGA设计的常见方法汇总:1.设计流程:设计流程是FPGA设计的基本框架,通常包括以下几个步骤:-系统设计:确定设计的目标和功能需求,分析系统的输入输出特性。

-架构设计:选择适当的FPGA器件、硬件平台和系统架构。

-IP集成:选择现有的IP(知识产权)核,并将其集成到设计中。

-设计实现:使用硬件描述语言(HDL)编写FPGA设计代码。

-仿真验证:使用仿真工具对设计进行功能验证。

-逻辑综合:将HDL代码转换为门级描述,在逻辑综合工具中进行网表级优化。

-物理布局:在FPGA的布局工具中将设计映射到FPGA芯片上。

-时序约束:定义设计中各模块之间的时序关系和临界路径。

-静态时序分析:使用时序分析工具对设计进行时序约束检查。

2.开发工具:开发工具对FPGA设计的开发和调试非常关键,常用的开发工具包括:- FPGA开发平台:如Xilinx的Vivado、Altera的Quartus等,提供完整的设计流程支持。

- 仿真工具:如ModelSim、ISim等,用于对设计进行功能验证和时序分析等。

- 布局工具:常用的布局工具有PlanAhead、ISE等,用于将设计映射到FPGA芯片上。

- 时序分析工具:如TimeQuest、NanoTime等,用于对设计进行时序约束检查和时序优化。

- 调试工具:如ChipScope、SignalTap等,用于对设计进行硬件调试和波形分析。

3.语言选择:- VHDL(VHSIC Hardware Description Language):一种硬件描述语言,适用于复杂的设计和系统级设计。

- Verilog(Verification Language):一种硬件描述语言,适用于快速原型开发和设计验证。

如何用modelsim编译systemC的设计

如何用modelsim编译systemC的设计

如何在ModelSim下用SystemC的做验证?SystemC作为一种系统级设计与验证语言,非常适合做复杂IC的验证,而不是用于RTL描述。

很多人问我如何将SystemC综合和编译为可以下载的CPLD/FPGA的比特文件或者综合为ASIC网表,我的回答是用SystemC做RTL设计还为时过早。

可以想象将来可能将SystemC的行为级的描述综合为网表,即所谓高层次综合,这是一个很美好的未来,但未来不是现在。

Verilog/SystemVerilog依然是最好的RTL设计语言。

未来的RTL设计属于SystemVerilog。

关于SystemC和SystemVerilog在设计中的地位问题,我认为在验证方面,SystemC有明显的优势。

如果你设计纯粹的ASIC,那么用SystemVerilog可能就足够了。

但是在很多场合,软硬件同时存在,SystemC的代码很多部分可以之间用于设计软件,这个是很明显的优势。

大家同时也可以看到,现在在ModelSim等仿真软件中,SystemC使用起来跟Verilog/VHDL一样,非常方便。

举一个例子,我们假如想做DVB-S2的LDPC,我们一定会先用C++(M atlab也可以)写仿真程序,验证算法的正确性。

然后假设我们已经确定了目标ASIC的架构,打算用Verilog做RTL设计。

现在既然C++代码的验证部分可以几乎不加改变的用于基于SystemC的验证模块的设计,我们为什么还要费力的用SystemVerilog重新写一遍验证代码呢?下面步入正题,讲一讲如何在ModelSim下编译和仿真SystemC的设计。

我们设计一个一位移位寄存器模块(Verilog代码):1.shifter.v`timescale 1ns/100psmodule shifter(clk,nrst,din,dout);input clk,nrst;input din;output reg dout;always (posedge clk or negedge nrst) begin:shifter_with_nresetif(~nrst) dout<=1'b0;else dout<=din;endendmodule顶层设计为验证模块加shifter模块的例化:2.tb.v`timescale 1ns/100psmodule tb;wire clk,nrst,data,data_fd_bk;shifter_testtester(.clk(clk),.nrst(nrst),.data(data),.data_fd_bk(data_fd_bk)); shifter uut(.clk(clk),.nrst(nrst),.din(data),.dout(data_fd_bk)); endmodule其中shifter_test用SystemC描述。

SystemC和SystemVerilog的比较

SystemC和SystemVerilog的比较时间:2010-03-18 20:49来源:未知作者:admin 点击:356次SystemC、SystemVerilog已经继VHDL和Verilog之后,成为HDL仿真工具支持的语言。

但截至目前,Verilog依然是使用最广泛的语言,而SystemVerilog是Verilog的超集,因此SystemVerilog的发展本身就是Verilog的SystemC、SystemVerilog已经继VHDL和Verilog之后,成为HDL仿真工具支持的语言。

但截至目前,Verilog依然是使用最广泛的语言,而SystemVerilog是Verilog的超集,因此SystemVerilog的发展本身就是Verilog的发展。

就SystemC和SystemVerilog这两种语言而言, SystemC是C++在硬件支持方面的扩展,而SystemVerilog扩展了Verilog在面向对象和验证平台方面的适用扩展。

而这两种语言均支持诸如信号、事件、接口和面向对象的概念,但每一种语言又均拥有自己明确的应用重点:● SystemC特别适合建模体系结构,开发事务处理级(TL)模型和在验证中描述软件的行为。

对于具有很强C++实力的团队和有基于C/C++ IP 集成要求(如处理器仿真器),以及为早期软件开发设计的虚拟原型来说,SystemC特别适合。

● SystemVerilog是进行RTL设计的最佳语言,不仅在于其描述真实硬件和断言的能力,还在于对工具支持方面的考虑。

同时,SystemVerilog也提供了建模抽象模型和先进的验证平台语言特征,例如受限制随机激励生成、功能覆盖或断言。

对于那些没有C/C++IP 集成要求的项目来讲比较合适,毕竟可以使用一种语言完成全部设计。

当然,SystemC可以用于验证平台和描述RTL结构,而SystemVerilog也可以用于编写高层事务处理级模型。

基于SystemC和SystemVerilog的联合仿真平台设计

基于SystemC和SystemVerilog的联合仿真平台设计卢艳君【摘要】采用SystemC建模高抽象级模型、SystemVerilog进行验证工作,是解决验证工作量随着SoC复杂度提高而增加问题的有效手段.为了实现两种语言的联合仿真,提出了一种基于SystemC和SystemVerilog的联合仿真平台的实现,平台采用UVM验证方法学,采用标准化的组件结构与TLM通信方案,采用官方的UVMC库解决了SystemC与SystemVerilog之间的数据通讯问题,能够产生定向或约束性的随机激励.实际在UVM验证平台中完成对于AHB主设备接口的验证,结果显示,所设计的平台可以行之有效地实现联合仿真.【期刊名称】《黑龙江科技信息》【年(卷),期】2017(000)027【总页数】3页(P16-18)【关键词】SystemVerilog;SystemC;UVMC;联合仿真【作者】卢艳君【作者单位】广州民航职业技术学院,广东广州 510403【正文语种】中文随着SoC技术的不断发展,设计的复杂程度不断提高,并且新型的IP核设计流程、软件件协同仿真等机制的出现,使得IC的验证复杂度大大增加,验证已经占用整个IC开发流程的70%左右[1]。

针对IC行业发展的问题,新型的硬件描述与验证语言得以应用,使用SystemC语言进行硬件建模,同时基于SystemVerilog进行验证工作的方案越来越流行,其中SystemC可以提供事务级、高抽象度的建模方法,而应用SystemVerilog可以利用灵活的机制以及强大的进行规范而全面的验证,各自具有其独有的特点,两种语言二者结合起来,可以提高效率,缩短开发时间、增强验证效果。

然而,两种语言之间存在数据以及控制通信的障碍,因此如何解决二者的适配问题至关重要。

针对这一问题,本文提出了一种基于UVMC的联合仿真平台实现,能够很好的解决两者的适配问题。

SystemVerilog与SystemC之间适配的实现,其根本是缘于硬件描述语言(HDL,Hardware Description Language)与软/硬件协同设计语言(S/HCD,Software/Hardware Co-Design)之间的语法等效性。

中国电子学会嵌入式系统工程师专业技术资格认证考试资料

中国电子学会嵌入式系统工程师专业技术资格认证考试一、选择题(本大题共 15 个小题,每小题 1 分,共 15 分)1、基于 FPGA 的嵌入式系统,下面说法正确的是( D )A.只有运行 NiosII 软核的 FPGA 系统才是嵌入式系统 B.基于FPGA 的嵌入式系统是在 FPGA 中运行可配置的软核 C.基于 FPGA 的嵌入式系统主要特点是运行速度比其他嵌入式系统要快 D.基于 FPGA 的嵌入式系统是 FPGA 最主要的应用方向2、下列不属于 FPGA 应用范围的是( D )A.信号处理 B.智能应用 C.手持 PDA D.超大屏幕显示3、下列关于软核处理器的说法,正确的是( B )A.软核处理器执行 VHDL 编写的程序B.软核处理器是集成在 FPGA 中的模块C.NiosII、Microblaze、PowerPC、MIPS 都属于软核处理器的范畴D.NiosII 软核可以修改它的指令和外设4、下列说法正确的是( A )A.IP 核可以挂载到不同的总线上 B.系统中的 IP 核不支持 Ver iog HDL 和 VHDL 混合编写 C.同一个 IP 核在不同的 FPGA 中具有相同的性能 D.嵌入式软核处理器并不属于 IP 核的范畴*5、关于 SOPC 的说法,错误的是( B )A.SOPC 的系统中至少包含一个 NiosII 软核 B.SOPC 技术包含了嵌入式设计的全部,除了硬件 PCB 外,还包括处理器和实时多任务操作系统(RTOS) C.SOPC 可以体现软硬件协同设计技术 D.如果 FP GA 中集成了硬核处理器,无论是否使用,系统都属于 SOPC 系统6、下列不属于 FPGA 片内资源的是( A )A.高速串行收发器 B.PLL(数字锁相环)C.RAM D.FIFO(先进先出)7、下面哪项不属于 NiosII 软核的可定制性( D )A.可以修改基于 NiosII 的 IP 核 C.增加或取消 MMU(内存管理单元) B.提高或降低工作频率 D.自定义 NiosII 指令8、关于 FPGA 的配置问题下列说法的正确的是( A )A.EPCS16 的容量是 16Mb B.FPGA 仅支持 EPCS 配置 C.FPGA 的配置优先级最高的是 EPCS D.EPCS 配置 FPGA 属于 PS(被动)方式9、关于 NiosII 软核启动过程,下列说法错误的是( D )A.NiosII 软核的启动过程主要分为 FPGA 器件的配置和 NiosII 程序的加载B.CFI Flash 可以保存 FPGA 的配置文件、NiosII 程序和其他文件数据C.FPGA 配置文件(.sof)和 NiosII 程序(.elf)都可以保存在 EPCS 中D.使用 CFI Flash 做为 NiosII 启动的器件时,FPGA 把配置数据从 CFI Flash 读出并加载,然后执行 Bootloader 把保存的 NiosII 程序复制 SDRAM 执行10、下列可以在 FPGA 中稳定运行的是( B)A.在设计中同时存在大量同步和异步设计 B.状态机编码采用二进制码和独热码混合形式 C.使用很多已经验证好的 IP 核,但没有做整体的仿真 D.有的模块采用时钟上升沿,有的模块采用时钟下降沿11、uC/OS-II 的任务调度策略是( A )A.哪个任务的优先级高就先执行哪个任务 B.高优先级的任务会被低优先级的任务抢占 C.各自轮流执行一段时间再让出 CPU D.如果某个任务一直得不到 CPU 资源,就会进入等待状态12、在 FPGA 设计中不属于软件硬件协同设计的是( C )A.C2H(C to Hardware)硬件加速编译器 B.难于用软件实现的部分功能用硬件实现 C.在 C 程序中使用自定义外设的函数D.根据顶层设计要求,合理划分软硬件结构13、在 uC/OS-II 系统中不属于信号量用途的是( D)A.共享资源的控制 C.实现两个任务之间信息的传递)B.用来表示一个事件的发生 D.实现两个任务的同步14、关于 SystemC 和 SystemVerilog 的说法正确的是( C)A.SystemC 适合顶层建模,SystemVerilog 适合验证 B.System Verilog 适合顶层建模,SystemC 适合验证 C.SystemVerilog 是 Ver ilog 的升级版本 D.SystemC 可以直接转换为 RTL 代码15、下列关于 uClinux 的说法正确的是( D )A.uClinux 是在 linux 的基础上裁剪了内核和应用程序库。

芯片验证策略六部曲

芯片验证策略六部曲验证的策略篇之一:设计的流程通过芯片产品开发的流程图,而在描述中我们将开发流程分为了两条主线:芯片功能的细分不同人员的任务分配即是说不同人员需要在硅前的不同阶段实现和测试芯片的模块功能。

如果我们从另外一个角度看,芯片的开发即是将抽象级别逐次降低的过程,从一开始的抽象自然语言描述到硬件的HDL语言描述再到最后的门级网表。

而在我们已经介绍过RTL设计和门级网表以后,这里需要引入一个目前更高抽象级的描述TLM(事务级模型,transaction level models)。

TLM一般会在早期用于构建硬件的行为,侧重于它的功能描述,不需要在意时序。

同时各个TLM模型也会被集成为一个系统,用来评估系统的整体性能和模块之间的交互。

同时TLM模型在早期的设计和验证中,如果足够准确的话,甚至可以替代验证人员的参考模型,一方面为硬件设计提供了可以参考的设计(来源于系统描述侧),一方面也加速了验证(无需再构建参考模型,而且TLM 模型足够准确反映硬件描述)。

TLM模型的需求和ESL开发早期的芯片开发模式是遵循先从系统结构设计、到芯片设计制造、再到上层软件开发的。

但随着产品开发的压力,一方面我们需要让系统人员、硬件人员和软件人员都保持着充沛的工作量,同时对于一个芯片项目而言,我们也希望硬件人员和软件人员可以尽可能的同时进行开发。

这听起来怎么可能?毕竟芯片还没有制造出来,没有开发板怎么去构建软件呢?在这里我们系统结构人员会在早期构建一个高抽象级的系统,同时该系统必须具备该有的基本功能和各模块的接口保持信息交互,通过将功能描述变成可运行的系统,让硬件人员和软件人员可以在早期就利用该系统进行硬件参照和软件开发。

这种可以为复杂系统建立模型,让多个流程分支并行开发的方式被称作ESL(电子系统级,electronic system-level)开发。

传统的系统设计流程传统的系统设流程是瀑布形式(waterfall)开发的,这种顺序开发的方式存在明显的边界:时间边界:不同的开发子过程之间是保持顺序执行的,几乎没有可以交叠的空间来缩短整体的项目交付时间。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

SystemC和SystemVerilog的比较
时间:2010-03-18 20:49来源:未知作者:admin 点击:356次
SystemC、SystemVerilog已经继VHDL和Verilog之后,成为HDL仿真工具支持的语言。

但截至目前,Verilog依然是使用最广泛的语言,而SystemVerilog是Verilog的超集,因此SystemVerilog的发展本身就是Verilog的
SystemC、SystemVerilog已经继VHDL和Verilog之后,成为HDL仿真工具支持的语言。

但截至目前,Verilog依然是使用最广泛的语言,而SystemVerilog是Verilog的超集,因此SystemVerilog的发展本身就是Verilog的发展。

就SystemC和SystemVerilog这两种语言而言, SystemC是C++在硬件支持方面的扩展,而SystemVerilog扩展了Verilog在面向对象和验证平台方面的适用扩展。

而这两种语言均支持诸如信号、事件、接口和面向对象的概念,但每一种语言又均拥有自己明确的应用重点:
● SystemC特别适合建模体系结构,开发事务处理级(TL)模型和在验证中描述软件的行为。

对于具有很强C++实力的团队和有基于C/C++ IP 集成要求(如处理器仿真器),以及为早期软件开发设计的虚拟原型来说,SystemC特别适合。

● SystemVerilog是进行RTL设计的最佳语言,不仅在于其描述真实硬件和断言的能力,还在于对工具支持方面的考虑。

同时,SystemVerilog也提供了建模抽象模型和先进的验证平台语言特征,例如受限制随机激励生成、功能覆盖或断言。

对于那些没有C/C++IP 集成要求的项目来讲比较合适,毕竟可以使用一种语言完成全部设计。

当然,SystemC可以用于验证平台和描述RTL结构,而SystemVerilog也可以用于编写高层事务处理级模型。

但是,每一种语言都用于自己的重点应用时,它们可以达到最佳的效率。

这点对于复杂的项目特别适用,在这种项目中,不同的任务分属于不同的组,通常有不同的技能要求。

注重实效的解决方案以及符合设计团队的多种技术要求的方法是同时使用SystemC和SystemVerilog来开发和验证当今设计流程需要的虚拟原型的事务处理级模型。

图1-3比较了常见语言的描述能力。

其中横线代表描述能力,而跨越某一条横线则代表该语言与有能力描述该横线所代表的能力,未跨越的横线表示该语言不具备相应描述能力或者描述起来非常困难。

图1-4给出了不同语言的同一描述能力时的更加详细比较,通过优、好、可以、不可以来划分。

图1-3 常见语言的描述能力比较
图1-4 常见语言同一描述能力比较。

相关文档
最新文档