数字电容测试仪课程设计报告书

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

CHANGSHA UNIVERSITY OF SCIENCE & TECHNOLOGY 电子技术课程设计题目:数字电容测试仪课设5

学生姓名:

学号:

班级: 电子信息工程08-01班

专业:电子信息工程

所在院系:电气与信息工程学院

指导教师:吴一帆、徐理英、唐国红

年月

数字电容测试仪

摘要

本次课程设计的课题为数字电容的设计,设计目的是将电容的大小转换成与之相应的脉冲数,测量脉冲数目并进行译码,用数码管显示结果。我们都知道电容具有隔直流同交流的能力,在电子电路中是十分重要的元件,电容的容值在电路设计中是一重要因素。利用单稳态触发器可以把被测电容的大小转换成输出脉冲的宽度,即控制脉冲宽度Tx与Cx成正比。只要将此脉冲作为计数器的控制信号,即可得到计数脉冲,把计数脉冲送给计数器计数,然后再经过译码器送至数码管显示。时钟脉冲可由555构成的多谐振荡提供。如果时钟脉冲的频率等参数合适,数码管显示的数字便是Cx的大小。由于在使用一段时间后,电容容值与出厂是所标注的值有所偏差,这就需要设计仪器去测量电容容值。传统的测量方法都采用交流电桥法和谐振法,通常采用刻度读数,此方法不够直观。

关键字:电容;测量;脉冲;数显;555多谐振荡器

目录

一、课程设计目的 (1)

二、课程设计指标 (2)

三、单元电路设计与分析 (3)

3.1.多谐振荡器 (4)

3.2.单稳态测量控制电路 (5)

3.3.计数和显示模块 (6)

3.4.总电路原理图 (7)

五、设计的仿真和运行结果 (8)

六、总结 (9)

参考文献 (10)

附录 (11)

课程设计的目的

本次实验的目的在于掌握数字电容测试仪的设计、组装与调试方法。在日常的电路工程或者是电路试验中,电容是一个最常见的元器件,实际应用中,对电容的电容值的准确度要求也是很高的。但是由于电容自身特性决定了电容和电阻的测量是不一样的,电容的测量相对于电阻测量复杂,精确度不高。因此我们旨在设计一种可以测量电容大小的电路,并且采用七段数码管直接在屏幕上显示电容的大小,方便在以后的实验中对电容的使用。

课程设计的指标

(1) 被测电容的容量在0.01F μ至100F μ范围内。

(2) 设计测量量程。

(3) 用3位数码管显示测量结果,测量误差小于20%。

课程设计原理

我们考虑之后决定采用如图设计方案:

图1、电容测量仪的设计原理

利用单稳态触发器或电容器充放电规律等,可以把被测电容的大小转换成脉冲的宽窄,即控制脉冲宽度 Tx严格与 Cx成正比.脉冲的宽度可以用另一个多谐振荡器产生的标准脉冲的数目来测定,即把单稳态触发器的输出脉冲和多谐振荡器的标准脉冲相与便可得到计数脉冲。只要把此脉冲与频率固定不变的方波即时钟脉冲相与,便可得到计数脉冲,把计数脉冲送给计数器计数,然后再送给显示器显示.如果时钟脉冲的频率等参数合适,数字显示器显示的数字 N便是 Cx 的大小。微分电路起到将计数器清零的作用,方便连续测量。

设计步骤和过程

A、多谐振荡器

由555定时器构成的多谐振荡器来产生标准脉冲,电路和输出脉冲如图

图2、多谐振荡器

它在接通电源后,不需要外加触发信号,便能自动的产生矩形脉冲。此电路中用来产生时钟脉冲信号(如图3- b所示)。周而复始,形成振荡。其振荡周期与电容充放电时间有关,充电时间为:T1=(R4+R5)Cln2,,放电时间为T2=R5Cln2,,则时钟信号一个周期为T=T1+T2=(R4+2R5)Cln2。.

通过上述分析可知,电容充电时,定时器输出

01

u=,电容放电时,

u=0,电容不断地进行充、放电,输出端便获得矩形波。多谐振荡器无外部信号输入,却能输出矩形波,其实质是将直流形式的电能变为矩形波形式的电能。

波形如下图:

图3、多谐振荡器的波形

B、测量控制电路

由555定时器构成的单稳态触发器。2端作为触发信号的输入端,由U1产生的时钟脉冲信号来提供。

图4单稳态控制电路

它有稳态和暂稳态两个不同的工作状态。当被测电容 Cx接到电路中之后,只要按一下开关 S,电源电压Vcc 经微分电路1C、1R和反向器,送给 555定时器的低电平触发端2一个负脉冲信号使单稳态触发器由稳态变为暂稳态,其输出端3由低电平变为高电平.该高电平控制与门使时钟脉冲信号通过,送入计数器计数.暂稳态的脉冲宽度为Tx=1.1RCx.然后单稳态电路又回到稳态。输出脉冲的宽度tw等于暂稳态的持续时间,而暂稳态的持续时间取决于外接电阻R和电容C的大小。即:tw=R2*C2*Ln2=1.1R2*C2。

信号如下图所示:

图5、控制电路的波形

C、计数和显示模块

图6、计数和显示原理

我们采用74LS160作为计数器。三个芯片级联构成三位的显示控制模块。显示部分电路中显示器用的是DCD-HEX-GREEN显示器。

D、总的电路图

图7、总电路原理图

设计的仿真和运行结果

按照上面的电路在multisim10.1中仿真,得出结果是:当电容位于0.01~~1uF 的时候将单刀双掷开关置于1K处,显示模块显示的数值即为电容值(单位nF)。运行如下图:被测电容值假定0.22uF,

图8、小量程测量

测量显示为0.23uF,误差<5%。

当电容位于1~~100uF时,单刀双掷开关置于100K,显示出电容值(单位uF)。被测电容假定33uF,测量结果如:

图9、大量程测量结果

测量结果现实为33uF,误差为零。

测试结果表明设计的电路可以完成预期的目标,可以准确迅速的在一定允许误差范围内测量出被测电容值。

总结

在两个星期的课程设计之后,我不仅感到了自己的实际动手能力有所提高,更重要的是通过对设计过程的了解,进一步激发了我对专业知识的兴趣,并为以后能够结合实际存在的问题在专业领域内进行更深入的学习打下了基础。

这次数字电容测试仪的设计使我把学到的由555定时器组成的多谐振荡器和单稳态触发器,74LS160十进制加法器以及LED数码管都运用到了电路中,从而加深拉了我对这些知识的理解和运用,使我受益匪浅。

当然设计过程当中我们还遇到了一些问题,由于设计这两个模块需要对555集成块进行连接,但是当时对555集成块理论知识的掌握不够全面,所以导致设计出来的电路无法正常工作,所以我们又一起参考数电课本和图书馆借来的资料,把555集成块的结构和功能研究了一遍,最后终于成功设计出来。可是当我们把所有模块全部连接起来以后,运行该数字电容测试仪时,显示屏上一开始一直显示的是111,每次测试的时候都是从111这个数值的基础上开始累加。后来经过我们对电路的研究,发现没有设计调零电路,所以我们在电路图上设计了一个自动调零电路,这样,从111开始计数的问题就解决了。接下来的设计中也遇到了各种各样的问题,同时在分析测容原理与设计的过程中也发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固,通过这次课程设计之后,使我认识到了自己要学习的还有很多的。

总之,这次课程设计让我学到了很多,也认识到了很多,很感谢学校给我们这次课程设计的机会。课程设计不但锻炼了我们的动手能力还让我们对自己所学的专业知识有了更深的理解。在此期间,在老师的身上我也学得到很多实用的知识,在此表示对我们指导老师的感谢。还有我们同组的帮助过我的同学也表示感谢。

相关文档
最新文档