基于Libero的数字逻辑设计仿真及验证实验实验报告(1_2)
数字逻辑实验报告实验

一、实验目的1. 理解数字逻辑的基本概念和基本原理。
2. 掌握数字逻辑电路的基本分析方法,如真值表、逻辑表达式等。
3. 熟悉常用数字逻辑门电路的功能和应用。
4. 提高数字电路实验技能,培养动手能力和团队协作精神。
二、实验原理数字逻辑电路是现代电子技术的基础,它主要研究如何用数字逻辑门电路实现各种逻辑功能。
数字逻辑电路的基本元件包括与门、或门、非门、异或门等,这些元件可以通过组合和连接实现复杂的逻辑功能。
1. 与门:当所有输入端都为高电平时,输出端才为高电平。
2. 或门:当至少有一个输入端为高电平时,输出端为高电平。
3. 非门:将输入端的高电平变为低电平,低电平变为高电平。
4. 异或门:当输入端两个高电平或两个低电平时,输出端为低电平,否则输出端为高电平。
三、实验内容1. 实验一:基本逻辑门电路的识别与测试(1)认识实验仪器:数字电路实验箱、逻辑笔、示波器等。
(2)识别与测试与门、或门、非门、异或门。
(3)观察并记录实验现象,分析实验结果。
2. 实验二:组合逻辑电路的设计与分析(1)设计一个简单的组合逻辑电路,如加法器、减法器等。
(2)根据真值表列出输入输出关系,画出逻辑电路图。
(3)利用逻辑门电路搭建电路,进行实验验证。
(4)观察并记录实验现象,分析实验结果。
3. 实验三:时序逻辑电路的设计与分析(1)设计一个简单的时序逻辑电路,如触发器、计数器等。
(2)根据电路功能,列出状态表和状态方程。
(3)利用触发器搭建电路,进行实验验证。
(4)观察并记录实验现象,分析实验结果。
四、实验步骤1. 实验一:(1)打开实验箱,检查各电路元件是否完好。
(2)根据电路图连接实验电路,包括与门、或门、非门、异或门等。
(3)使用逻辑笔和示波器测试各逻辑门电路的输出,观察并记录实验现象。
2. 实验二:(1)根据实验要求,设计组合逻辑电路。
(2)列出真值表,画出逻辑电路图。
(3)根据逻辑电路图连接实验电路,包括所需逻辑门电路等。
数字逻辑设计实验报告

一、实验目的1. 理解和掌握数字逻辑设计的基本原理和方法。
2. 熟悉数字电路的基本门电路和组合逻辑电路。
3. 培养动手能力和实验技能,提高逻辑思维和解决问题的能力。
4. 熟悉数字电路实验设备和仪器。
二、实验原理数字逻辑设计是计算机科学与技术、电子工程等领域的基础课程。
本实验旨在通过实际操作,让学生掌握数字逻辑设计的基本原理和方法,熟悉数字电路的基本门电路和组合逻辑电路。
数字逻辑电路主要由逻辑门组成,逻辑门是数字电路的基本单元。
常见的逻辑门有与门、或门、非门、异或门等。
根据逻辑门的功能,可以将数字电路分为组合逻辑电路和时序逻辑电路。
组合逻辑电路的输出只与当前输入有关,而时序逻辑电路的输出不仅与当前输入有关,还与之前的输入有关。
三、实验内容1. 逻辑门实验(1)实验目的:熟悉逻辑门的功能和特性,掌握逻辑门的测试方法。
(2)实验步骤:① 将实验箱中的逻辑门连接到测试板上。
② 根据实验要求,将输入端分别连接高电平(+5V)和低电平(0V)。
③ 观察输出端的变化,记录实验数据。
④ 分析实验结果,验证逻辑门的功能。
2. 组合逻辑电路实验(1)实验目的:掌握组合逻辑电路的设计方法,熟悉常用组合逻辑电路。
(2)实验步骤:① 根据实验要求,设计组合逻辑电路。
② 将电路连接到实验箱中。
③ 根据输入端的不同组合,观察输出端的变化,记录实验数据。
④ 分析实验结果,验证电路的功能。
3. 时序逻辑电路实验(1)实验目的:掌握时序逻辑电路的设计方法,熟悉常用时序逻辑电路。
(2)实验步骤:① 根据实验要求,设计时序逻辑电路。
② 将电路连接到实验箱中。
③ 观察电路的输出变化,记录实验数据。
④ 分析实验结果,验证电路的功能。
四、实验结果与分析1. 逻辑门实验结果:通过实验,验证了逻辑门的功能和特性,掌握了逻辑门的测试方法。
2. 组合逻辑电路实验结果:通过实验,掌握了组合逻辑电路的设计方法,熟悉了常用组合逻辑电路。
3. 时序逻辑电路实验结果:通过实验,掌握了时序逻辑电路的设计方法,熟悉了常用时序逻辑电路。
数字逻辑实验报告解析

一、实验背景数字逻辑是电子技术与计算机科学的基础课程,它研究数字电路的设计与实现。
为了加深对数字逻辑电路的理解,我们进行了本次实验,通过实际操作和仿真,验证数字逻辑电路的理论知识,并掌握数字逻辑电路的设计与实现方法。
二、实验目的1. 理解数字逻辑电路的基本原理和组成。
2. 掌握逻辑门电路、组合逻辑电路和时序逻辑电路的设计方法。
3. 通过实验验证数字逻辑电路的功能,提高动手能力和分析问题能力。
三、实验内容1. 逻辑门电路实验(1)实验目的:学习分析基本的逻辑门电路的工作原理,掌握与门、或门、非门等基本逻辑门电路的逻辑功能。
(2)实验步骤:①按照实验指导书的要求,连接实验电路;②根据输入信号,观察输出信号,验证逻辑门电路的逻辑功能;③记录实验结果,分析实验现象。
(3)实验结果与分析:实验结果显示,与门、或门、非门等基本逻辑门电路的逻辑功能符合预期。
通过实验,我们加深了对逻辑门电路工作原理的理解。
2. 组合逻辑电路实验(1)实验目的:掌握组合逻辑电路的设计方法,验证组合逻辑电路的功能。
(2)实验步骤:①根据实验要求,设计组合逻辑电路;②按照实验指导书的要求,连接实验电路;③根据输入信号,观察输出信号,验证组合逻辑电路的功能;④记录实验结果,分析实验现象。
(3)实验结果与分析:实验结果显示,设计的组合逻辑电路功能符合预期。
通过实验,我们掌握了组合逻辑电路的设计方法,提高了逻辑思维能力。
3. 时序逻辑电路实验(1)实验目的:掌握时序逻辑电路的设计方法,验证时序逻辑电路的功能。
(2)实验步骤:①根据实验要求,设计时序逻辑电路;②按照实验指导书的要求,连接实验电路;③根据输入信号,观察输出信号,验证时序逻辑电路的功能;④记录实验结果,分析实验现象。
(3)实验结果与分析:实验结果显示,设计的时序逻辑电路功能符合预期。
通过实验,我们掌握了时序逻辑电路的设计方法,提高了逻辑思维能力。
四、实验总结通过本次实验,我们完成了以下任务:1. 理解了数字逻辑电路的基本原理和组成;2. 掌握了逻辑门电路、组合逻辑电路和时序逻辑电路的设计方法;3. 通过实验验证了数字逻辑电路的功能,提高了动手能力和分析问题能力。
数字逻辑实习报告

一、实习目的本次数字逻辑实习的主要目的是通过实际操作和理论学习,加深对数字逻辑电路基本原理的理解,掌握数字逻辑电路的设计、分析和仿真方法,提高解决实际问题的能力。
二、实习内容1. 数字逻辑电路基本原理的学习在实习过程中,我们首先学习了数字逻辑电路的基本原理,包括逻辑门、触发器、计数器、寄存器等基本逻辑元件及其组合逻辑和时序逻辑电路的设计方法。
2. 逻辑门电路的设计与仿真通过Logisim软件,我们设计并仿真了各种逻辑门电路,如与门、或门、非门、异或门等。
通过实验,我们验证了所设计的逻辑门电路的正确性。
3. 触发器电路的设计与仿真我们学习了D触发器、JK触发器、T触发器等基本触发器电路的设计方法,并利用Logisim软件进行仿真,验证了所设计的触发器电路的功能。
4. 计数器电路的设计与仿真我们学习了同步计数器、异步计数器等计数器电路的设计方法,并利用Logisim软件进行仿真,验证了所设计的计数器电路的正确性。
5. 寄存器电路的设计与仿真我们学习了移位寄存器、同步寄存器等寄存器电路的设计方法,并利用Logisim软件进行仿真,验证了所设计的寄存器电路的功能。
三、实习过程1. 实验准备在实习开始前,我们查阅了相关资料,了解了数字逻辑电路的基本原理和设计方法。
同时,我们预习了实验指导书,明确了实验目的、内容和步骤。
2. 实验操作在实验过程中,我们按照实验指导书的要求,利用Logisim软件设计并仿真了各种数字逻辑电路。
在实验过程中,我们遇到了一些问题,通过查阅资料、请教老师等方式解决了这些问题。
3. 结果分析通过对所设计的数字逻辑电路进行仿真,我们验证了电路的正确性。
同时,我们分析了电路的性能,如速度、功耗等。
四、实习收获1. 提高了数字逻辑电路设计能力通过本次实习,我们掌握了数字逻辑电路的设计方法,提高了数字逻辑电路的设计能力。
2. 增强了实践操作能力在实习过程中,我们学会了使用Logisim软件进行数字逻辑电路的仿真,提高了实践操作能力。
数字逻辑实验报告2-模板

数字逻辑实验报告(2)数字逻辑实验2多功能电子钟系统设计成绩评语:(包含:预习报告内容、实验过程、实验结果及分析)教师签名姓名:学号:班级:物联网1701指导教师:徐有青计算机科学与技术学院20 年月日数字逻辑实验报告多功能电子钟系统设计实验报告多功能电子钟系统设计1、实验名称多功能电子钟系统设计。
2、实验目的要求同学采用传统电路的设计方法,对一个“设计场景”进行逻辑电路的设计,并利用工具软件,例如,“logisim”软件的虚拟仿真来验证电子钟电路系统的设计是否达到要求。
通过以上实验的设计、仿真、验证3个训练过程使同学们掌握小型电路系统的设计、仿真、调试方法以及电路模块封装的方法。
3、实验所用设备软件一套。
4、实验内容设计场景:多功能数字钟是一种用数字显示秒、分、时的计时装置,当前从小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟无处不在。
多功能数字钟的基本功能如下:(1)显示时、分、秒;(2)可以采用24小时制或12小时制(上午和下午);(3)整点报时,整点前10秒开始,整点时结束;(4)单独对“时、分”计时校准,对分钟值校准时最大分钟值不向小时值进位;(5)闹钟10秒提醒。
使用logisim软件对你设计电子钟电路进行虚拟仿真验证,具体要求如下。
(采用logisim软件提供的“时钟频率”为8hz的信号源)(1)具有校准计数值功能的六十进制计数器电路采用“四位二进制可逆计数器”这个“私有”元件和相应元器件,设计一个具有对计数值进行校准的六十进制计数器,并封装,该计数器逻辑符号参见图2-1所示。
图2-1 校准计数值的60进制计数器六十进制计数器的输入输出引脚定义如下:(a)一个清零端Clr;(b)一个累加计数脉冲输入端CP U;(c)一个累减计数脉冲输入端CP D;(d)八个计数器状态输出值Q1D Q1C Q1B Q1A Q0D Q0C Q0B Q0A,采用8421码分别表示计数器状态的十位和个位;(e)一个计数值校准输入控制信号Adj,当Adj为“1”时通过CP U对计数值进行加计数或校准,Adj为“0”时通过CP D对计数值进行减计数校准(由于受“四位二进制可逆计数器”约束),CP D可以对计数值的十位或个位进行递减校准(递减的时候不需要循环,回到0即可);(f)每当计数累计满60产生一个进位输出信号Qcc。
基于Libero的数字逻辑设计仿真实验实验报告(实验5到8)

_计算机__学院网络工程专业 2 班________组、学号3110006512 姓名杨远军协作者______________ 教师评定_________________ 实验题目___________基于Libero的数字逻辑设计仿真实验_____________1.基本门电路2.组合逻辑电路3.时序逻辑电路4.补充实验(选做)实验报告基本门电路一、实验目的1、了解基于Verilog的基本门电路的设计及其验证。
2、熟悉利用EDA工具进行设计及仿真的流程。
二、实验环境Libero仿真软件(参考附录C)。
三、实验内容1、参考附录C掌握Libero软件的使用方法。
2、参考附录C中“一个完整的例子”,进行针对74系列基本门电路的设计,并完成相应的仿真实验。
3、参考附录D的设计代码、测试平台代码(可自行编程)及附录C的步骤,完成74HC00、74HC02、74HC04、74HC08、74HC32、74HC86相应的设计、综合及仿真。
4、提交针对74HC00、74HC02、74HC04、74HC08、74HC32、74HC86(任选一个)的综合结果,以及相应的功能仿真结果。
四、实验结果和数据处理1、模块及测试平台代码清单module HC00(A,B,Y); input [4:1]A,B; output[4:1]Y;assign Y=~(A&B); endmodule`timescale 1ns/1ns module testbench;reg a,b;wire y; HC00 test(a,b,y);initialbegina=0;b=0;#5 a=1;#5 b=1;#5 a=0;#5 b=0;end endmodule2、第一次仿真结果。
(将相关窗口调至合适大小,使波形能完整显示,对窗口截图。
1后面实验中的仿真使用相同方法处理)3、综合结果。
(将相关窗口调至合适大小,使RTL图能完整显示,对窗口截图,后面实验中的综合使用相同方法处理)4、第二次仿真结果(综合后)。
数字逻辑实验报告综合版

基于Libero的数字逻辑仿真实验1.基本门电路一、实验目的1.了解基于Verilog的基本门电路的设计及其验证。
2.熟悉利用EDA工具进行设计及仿真的流程。
二、实验环境Libero仿真软件。
三、实验内容1.参考4.1基本门电路实验掌握Libero软件的使用方法。
2.参考74HC00的实验, 完成74HC00、74HC02.74HC04.74HC08、74HC32.74HC86相应的设计、综合及仿真3、提交针对74HC00、74HC02、74HC04、74HC08、74HC32、74HC86(任选一个)的综合结果, 以及相应的功能仿真结果。
4.自选一个器件演示其布线后仿真过程。
四、实验结果和数据处理1.模块及测试平台代码清单(a) 74HC32:(b)模块代码// main.vmodule HC32(a,b,y);input [4:1]a,b;output[4:1]y;assign y=a|b;endmodule(c)测试平台代码// testbench.v`timescale 1ns/1nsmodule testbench;reg [4:1]a,b;wire [4:1]y;HC32 ul(a,b,y);initialbegina=4'b0000;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule2.第三次仿真结果(布局布线后)2.组合逻辑电路一、实验目的1.了解基于Verilog的组合逻辑电路的设计及其验证。
2.熟悉利用EDA工具进行设计及仿真的流程。
二、实验环境Libero仿真软件。
三、实验内容1.参考74HC00的实验, 完成74HC283.74HC85.74HC138、74HC148、74HC15.相应的设计、综合及仿真。
2、记录74HC85的综合结果, 以及相应的功能仿真结果。
数字逻辑设计实训报告(3篇)

第1篇一、实训目的本次数字逻辑设计实训旨在使学生掌握数字逻辑的基本概念、基本原理和基本方法,培养学生的逻辑思维能力和动手实践能力。
通过实训,使学生能够熟练运用数字逻辑设计工具,完成数字电路的设计与仿真,提高学生的实际工程应用能力。
二、实训内容1. 数字逻辑基本概念与原理(1)数字逻辑电路的基本组成数字逻辑电路由逻辑门、触发器、寄存器等基本单元组成。
逻辑门是实现基本逻辑运算的单元,触发器是存储信息的单元,寄存器是临时存储数据的单元。
(2)数字逻辑电路的分类数字逻辑电路主要分为组合逻辑电路和时序逻辑电路。
组合逻辑电路的输出仅与当前的输入有关,而时序逻辑电路的输出不仅与当前的输入有关,还与过去的输入有关。
(3)数字逻辑电路的表示方法数字逻辑电路可以用逻辑表达式、逻辑图、真值表等方法表示。
2. 数字逻辑设计工具(1)Verilog HDLVerilog HDL是一种硬件描述语言,可以用于描述数字逻辑电路的结构和行为。
通过Verilog HDL,可以方便地实现数字电路的设计与仿真。
(2)ModelSimModelSim是一款数字电路仿真软件,可以用于Verilog HDL代码的仿真。
通过ModelSim,可以验证设计的正确性,分析电路的性能。
3. 数字逻辑设计实例(1)设计一个4位加法器首先,分析4位加法器的功能,确定输入和输出。
输入为两个4位二进制数,输出为它们的和。
然后,根据组合逻辑电路的设计方法,设计加法器的逻辑电路。
最后,使用Verilog HDL语言描述加法器的功能,并在ModelSim中进行仿真。
(2)设计一个4位同步计数器首先,分析4位同步计数器的功能,确定输入和输出。
输入为时钟信号和复位信号,输出为4位二进制数。
然后,根据时序逻辑电路的设计方法,设计计数器的逻辑电路。
最后,使用Verilog HDL语言描述计数器的功能,并在ModelSim中进行仿真。
三、实训过程1. 理论学习在实训前,学生需要掌握数字逻辑的基本概念、基本原理和基本方法,熟悉数字逻辑设计工具的使用。
数字逻辑实践实验报告(3篇)

第1篇一、实验目的1. 掌握数字逻辑电路的基本原理和设计方法。
2. 熟悉数字电路实验设备的使用。
3. 提高数字电路的仿真和调试能力。
4. 培养学生分析问题和解决问题的能力。
二、实验内容1. 组合逻辑电路设计(1)2选1多路选择器设计:根据教材5.1节的流程,利用Quartus II完成2选1多路选择器的文本编辑输入(MUX21.v)和仿真测试等步骤,给出仿真波形。
在实验系统上硬件测试,验证此设计的功能。
(2)三人表决电路设计:根据教材5.1节的流程,利用Quartus II完成三人表决电路的文本编辑输入(图5-36)和仿真测试等步骤,给出仿真波形。
在实验系统上硬件测试,验证此设计的功能。
2. 时序逻辑电路设计(1)数字显示电子钟设计:根据任务要求,设计一个数字显示电子钟,时钟的时、分、秒要求各用两位显示,上、下午用发光管作为标志。
整个系统要有校时部分和闹钟部分,声音要响5秒。
(2)脉冲波形的变换与产生:设计单稳态触发器,555定时器及其应用电路,实现脉冲波形的变换与产生。
3. 数字逻辑电路仿真与调试(1)使用Logisim软件进行无符号数的乘法器设计,实现两个无符号的4位二进制数的乘法运算。
(2)使用Logisim软件进行无符号数的除法器设计,实现两个无符号的4位二进制数的除法运算。
三、实验过程1. 组合逻辑电路设计(1)2选1多路选择器设计:首先,分析2选1多路选择器的逻辑功能,确定输入输出关系。
然后,利用Quartus II软件编写Verilog HDL代码,完成2选1多路选择器的文本编辑输入。
接着,进行仿真测试,观察仿真波形,验证设计功能。
最后,在实验系统上硬件测试,验证设计功能。
(2)三人表决电路设计:首先,分析三人表决电路的逻辑功能,确定输入输出关系。
然后,利用Quartus II软件编写Verilog HDL代码,完成三人表决电路的文本编辑输入。
接着,进行仿真测试,观察仿真波形,验证设计功能。
实验报告

计算机学院软件工程专业 4 班____组、学号3111006219 姓名党杰协作者__________ 教师评定____________实验题目基于实验箱的数字逻辑实验实验报告基本门电路及门电路综合实验一、实验目的1. 了解基本门电路的主要用途以及验证它们的逻辑功能。
2. 熟悉数字电路实验箱的使用方法。
3. 掌握利用基本门电路来实现具体电路的方法。
二、实验仪器及器件1. DIGILOGIC-2011数字逻辑及系统实验箱。
2. 器件:74HC00、74HC02、74HC04、74HC08、74HC32、74HC86。
三、实验结果和数据处理表2-1 74HC00(与非)输入输出状态输入端输出端YA B LED(亮/灭)逻辑状态0 0 亮 10 1 亮 11 0 亮 11 1 灭0表2-2 74HC02(或非)输入输出状态输入端输出端YA B LED(亮/灭)逻辑状态0 0 亮 10 1 灭01 0 灭01 1 灭0表2-3 74HC04(非)输入输出状态输入端输出端YA LED (亮/灭)逻辑状态0 亮 1 1灭表2-7 举重比赛裁判表决电路输入输出状态(方案一)输入端 输出端A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 111 表2-8 举重比赛裁判表决电路输入输出状态(方案二)输入端 输出端A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 11 11组合逻辑电路一、实验仪器及器件1. DIGILOGIC-2011数字逻辑及系统实验箱。
2. 器件:74HC148、74HC138、74HC153、74HC85、74HC283、74HC4511、4数字共阴极八段显示数码管LN3461Ax 。
二、实验结果和数据处理表2-11 74HC148(8-3编码器)输入/输出状态控制 十进制数字信号输入 二进制数码输出 状态输出EI 0I1I 2I 3I 4I 5I 6I 7I2A 1A 0A GS EO 1 X X X X X X X X 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 0 0 X X X X X X X 0 0 0 0 0 1 0XXXXXX111控制十进制数字信号输入二进制数码输出状态输出EI0A GS EOA0I1I2I3I4I5I6I7I2A10 X X X X X 0 1 1 0 1 0 0 10 X X X X 0 1 1 1 0 1 1 0 10 X X X 0 1 1 1 1 1 0 0 0 10 X X 0 1 1 1 1 1 1 0 1 0 10 X 0 1 1 1 1 1 1 1 1 0 0 10 0 1 1 1 1 1 1 1 1 1 1 0 1注:X为任意状态表2-12 74HC138(3-8译码器)输入/输出状态使能输入数据输入译码输出E2E E3A2A1A00Y1Y2Y3Y4Y5Y6Y7Y 11 X X X X X 1 1 1 1 1 1 1 1 X 1 X X X X 1 1 1 1 1 1 1 1 X X 0 X X X 1 1 1 1 1 1 1 1 0 0 1 0 0 0 0 1 1 1 1 1 1 1 0 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 0 1 1 1 1 1 0 1 1 1 1 0 0 1 1 0 0 1 1 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 1 1 0 1 1 0 0 1 1 1 0 1 1 1 1 1 1 0 1 0 0 1 1 1 1 1 1 1 1 1 1 1 0表2-13 74 HC153(数据选择器)输入/输出状态选择输入数据输入输出使能输入输出S1S01I01I11I21I3E11YX X X X X X 1 00 0 0 X X X 0 00 0 1 X X X 0 11 0 X X 0 X 0 01 0 X X 1 X 0 10 1 X 0 X X 0 00 1 X 1 X X 0 11 1 X X X 0 0 01 1 X X X 1 0 1注:X为任意状态表2-16 74HC4511(数码显示管)输入/输出状态使能输入数据输入译码输出显示字形LTBILE D C B A 0 X X X X X X 8 1 0 X X X X X 无 1 1 0 0 0 0 0 0 1 1 0 0 0 0 1 1 1 1 0 0 0 1 0 2 1 1 0 0 0 1 1 3 1 1 0 0 1 0 0 4 1 1 0 0 1 0 1 5 1 1 0 0 1 1 0 6 1 1 0 0 1 1 1 7 1 1 0 1 0 0 0 8 1 1 0 1 0 0 1 9 1 1 0 1 0 1 0 无 1 1 0 1 0 1 1 无 1 1 0 1 1 0 0 无 1 1 0 1 1 0 1 无 1 1 0 1 1 1 0 无 111111无时序逻辑电路一、实验仪器及器件1. DIGILOGIC-2011数字逻辑及系统实验箱。
数字逻辑实验报告

一、实验目的1. 理解数字逻辑的基本概念和原理。
2. 掌握逻辑门电路的基本功能和应用。
3. 学会使用逻辑门电路设计简单的组合逻辑电路。
4. 培养实际动手能力和分析问题、解决问题的能力。
二、实验原理数字逻辑是研究数字电路的基本原理和设计方法的一门学科。
数字电路是由逻辑门电路组成的,逻辑门电路是实现逻辑运算的基本单元。
常见的逻辑门电路有与门、或门、非门、异或门等。
组合逻辑电路是由逻辑门电路组成的,其输出仅与当前的输入有关,而与电路的历史状态无关。
组合逻辑电路的设计方法主要有真值表法、逻辑函数法、卡诺图法等。
三、实验仪器与设备1. 数字逻辑实验箱2. 移动电源3. 连接线4. 逻辑门电路模块5. 计算器四、实验内容1. 逻辑门电路测试(1)测试与门、或门、非门、异或门的功能。
(2)测试逻辑门电路的输出波形。
2. 组合逻辑电路设计(1)设计一个4位二进制加法器。
(2)设计一个4位二进制减法器。
(3)设计一个4位二进制乘法器。
(4)设计一个4位二进制除法器。
五、实验步骤1. 逻辑门电路测试(1)将实验箱上相应的逻辑门电路模块插入实验板。
(2)根据实验要求,连接输入端和输出端。
(3)打开移动电源,将输入端接入逻辑信号发生器。
(4)观察输出波形,记录实验结果。
2. 组合逻辑电路设计(1)根据实验要求,设计组合逻辑电路的原理图。
(2)根据原理图,将逻辑门电路模块插入实验板。
(3)连接输入端和输出端。
(4)打开移动电源,将输入端接入逻辑信号发生器。
(5)观察输出波形,记录实验结果。
六、实验结果与分析1. 逻辑门电路测试实验结果如下:(1)与门:当两个输入端都为高电平时,输出为高电平。
(2)或门:当两个输入端至少有一个为高电平时,输出为高电平。
(3)非门:输入端为高电平时,输出为低电平;输入端为低电平时,输出为高电平。
(4)异或门:当两个输入端不同时,输出为高电平。
2. 组合逻辑电路设计实验结果如下:(1)4位二进制加法器:能够实现两个4位二进制数的加法运算。
数字逻辑大实验报告

一、实验背景数字逻辑是计算机科学和电子工程领域的基础学科,研究数字系统的设计和分析。
本次大实验旨在通过实际操作,加深对数字逻辑电路原理的理解,掌握逻辑门电路、组合逻辑电路和时序逻辑电路的设计与实现方法。
二、实验目的1. 理解并掌握数字逻辑电路的基本原理和设计方法。
2. 掌握常用逻辑门电路的功能和应用。
3. 熟悉组合逻辑电路和时序逻辑电路的设计与实现。
4. 提高实验操作能力和问题解决能力。
三、实验内容本次实验共分为三个部分:1. 逻辑门电路实验(1)实验目的:验证常用逻辑门电路的逻辑功能,熟悉各种门电路的逻辑符号。
(2)实验内容:- 测试与非门、或门、与门、异或门、同或门、非门等逻辑门电路的逻辑功能。
- 利用Multisim软件绘制逻辑门电路仿真图,验证逻辑功能。
2. 组合逻辑电路实验(1)实验目的:掌握组合逻辑电路的设计与实现方法。
(2)实验内容:- 设计并实现一个4位二进制加法器。
- 设计并实现一个4位二进制乘法器。
- 利用Multisim软件对设计结果进行仿真验证。
3. 时序逻辑电路实验(1)实验目的:掌握时序逻辑电路的设计与实现方法。
(2)实验内容:- 设计并实现一个异步复位计数器。
- 设计并实现一个同步复位计数器。
- 利用Multisim软件对设计结果进行仿真验证。
四、实验步骤1. 熟悉实验设备,了解实验原理。
2. 根据实验要求,设计电路图。
3. 利用Multisim软件绘制电路图,并进行仿真验证。
4. 将设计好的电路图下载到实验板上,进行实际操作。
5. 观察实验结果,分析实验数据。
五、实验结果与分析1. 逻辑门电路实验:实验结果显示,所有逻辑门电路的逻辑功能均符合预期,验证了实验原理的正确性。
2. 组合逻辑电路实验:- 4位二进制加法器实验:实验结果显示,加法器能够正确实现两个4位二进制数的加法运算。
- 4位二进制乘法器实验:实验结果显示,乘法器能够正确实现两个4位二进制数的乘法运算。
广工基于Libero的数字逻辑设计仿真及验证实验实验报告(6)

6、数字逻辑综合设计仿真及验证一、实验目的1、进一步熟悉利用EDA工具进行设计及仿真的流程。
2、熟悉利用EDA工具中的图形化设计界面进行综合设计。
3、熟悉芯片烧录的流程及步骤。
4、掌握分析问题、解决问题的综合能力,通过EDA工具设计出能解决实际问题的电路。
二、实验环境1、Libero仿真软件。
2、DIGILOGIC-2011数字逻辑及系统实验箱。
3、Actel Proasic3 A3P030 FPGA核心板及Flash Pro4烧录器。
三、实验内容1、循环数码显示在学生自己的工程项目文件中,新建一SmartDesign文件,命名要求:姓名拼音首字母+下划线+cp1,测试文件名:test+下划线+cp1使用SmartDesign工具进行设计,要求如下:(1)使用已设计的74HC161、74HC85、74HC4511模块,及IP核中Actel Macros 库中的反相器模块(INV),在SmartDesign画布中设计下图左框中的模块。
abcdefg 设计完成后,将SmartDesign画布中的设计截图。
(截图)(2)设计相应的测试平台。
测试平台中的数据要求所输入的A3A2A1A0及B3B2B1B0分别为学生学号末四位的最小数及最大数所对应的二进制数。
//测试平台代码(3)第一次仿真结果(4)综合结果(5)布局布线(引脚分配截图)。
注意,布局布线时输入引脚应避开FPGA板中上(6)第三次仿真结果(布局布线后)(7)烧录。
完成后给老师检查。
2、4位学号显示设计要求:4位数码管依次显示本人学号末4位。
SmartDesign文件命名要求:姓名拼音首字母+下划线+cp2,测试文件名:test+下划线+cp23、交通灯控制器设计要求:交通路口东西向和南北向各有红、黄、绿三种交通灯,按“东西向红灯亮,南北向绿灯亮→东西向红灯亮,南北向黄灯亮→东西向绿灯亮,南北向红灯亮→东西向黄灯亮,南北向红灯亮”顺序循环变化,试设计状态机实现此功能。
基于Libero的数字逻辑设计仿真及验证实验实验报告(全)

计算机学院专业班学号姓名教师评定实验题目_________基于Libero的数字逻辑设计仿真及验证实验_________1、熟悉EDA工具的使用;仿真基本门电路。
2、门电路的综合实验3、组合逻辑电路实验4、时序逻辑电路实验5、数字逻辑综合设计仿真及验证。
注:所有基于Libero的实验,都在一个工程项目中完成,文件命名要求工程文件名(Project Name):学号+下划线+姓名拼音首字母(例:学号3115000001姓名张小童,工程文件名为:3115000001_zxt)实验报告1、基本门电路一、实验目的1、了解基于Verilog的基本门电路的设计及其验证。
2、熟悉利用EDA工具进行设计及仿真的流程。
3、学习针对实际门电路芯片74HC00、74HC02、74HC04、74HC08、74HC32、74HC86进行VerilogHDL设计的方法。
4、掌握Libero软件的使用方法。
二、实验环境Libero仿真软件。
三、实验内容1、在自己的工程文件中,新建一个设计代码文件(Verilog Source File),文件命名规则:学号+下划线+BasGate例:3115000001_BasGate.v在自己的工程文件中,新建一个测试平台文件(HDL Stimulus File),文件命名规则:test_BasGate.v2、进行针对74系列基本门电路的设计,并完成相应的仿真实验。
3、参考教材中相应章节的设计代码、测试平台代码(可自行编程),完成2输入与非门、2输入或非门、2输入与门、2输入或门、2输入异或门、非门的设计、综合及仿真。
4、提交针对基本门电路的综合结果,以及相应的仿真结果。
四、实验结果和数据处理1、门电路...模块清单及测试平台代码清单(1)所有硬件功能模块的代码清单(关键代码应有注释)(2)测试平台模块的代码清单(关键语句应有注释)2、第一次仿真结果(截图..)。
先将波形窗口背景设为白色..,调整窗口至合适大小,使波形能完整显示,再对窗口截图..。
基于Libero的数字逻辑设计仿真及验证实验报告(实验1)

基于Libero的数字逻辑设计仿真及验证实验报告(实验1)实验报告1、基本门电路⼀、实验⽬的1、熟悉EDA⼯具的使⽤;仿真基本门电路。
掌握基于Verilog的基本门电路的设计及其验证。
2、熟悉利⽤EDA⼯具进⾏设计及仿真的流程。
基本门电路的程序烧录及验证。
3、学习针对实际门电路芯⽚74HC00、74HC02、74HC04、74HC08、74HC32、74HC86进⾏VerilogHDL 设计的⽅法。
⼆、实验环境Libero仿真软件。
三、实验内容1、掌握Libero软件的使⽤⽅法。
2、进⾏针对74系列基本门电路的设计,并完成相应的仿真实验。
3、参考教材中相应章节的设计代码、测试平台代码(可⾃⾏编程),完成74HC00、74HC02、74HC04、74HC08、74HC32、74HC86相应的设计、综合及仿真。
4、提交针对74HC00、74HC02、74HC04、74HC08、74HC32、74HC86(任选⼀个....)的综合结果,以及相应的仿真结果。
四、实验结果和数据处理1、所有..模块及测试平台代码清单//74HC00代码-与⾮门// 74HC00.vmodule HC00(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=~(A&B);endmodule//74HC00测试平台代码// testbench.v`timescale 1ns/1nsmodule testbench();reg [4:1]a,b;wire [4:1]y;HC00 u1(a,b,y); initialbegina=4'b0000;b=4'b0001; #10 b=b<<1;#10 b=b<<1;#10 b=b<<1;a=4'b1111;b=4'b0001; #10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule//74HC02代码-或⾮门// 74HC02.vmodule HC02(A,B,Y); input [4:1]A,B;output [4:1]Y;assign Y=~(A|B);//或⾮endmodule//74HC02测试平台代码`timescale 1ns/1ns module test02();reg [4:1]a,b;wire [4:1]y;HC02 u2(a,b,y); initialbegina=4'b0000; b=4'b0001; #10 b=b<<1;#10 b=b<<1;#10 b=b<<1;#10a=4'b1111; b=4'b0001; #10 b=b<<1;endendmodule//74HC04代码-⾮门module HC04(A,Y); input [6:1]A;output [6:1]Y;assign Y=~A;//⾮endmodule//74HC04测试平台代码`timescale 1ns/1ns module test04();reg [6:1]a;wire [6:1]y;HC04 u4(a,y);initialbegina=6'b000001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule//74HC08代码-与门module HC08(A,B,Y); input [4:1]A,B;output [4:1]Y;assign Y=A&B//与endmodule//74HC08测试平台代码`timescale 1ns/1ns module test08();HC08 u8(a,b,y); initialbegina=4'b0000; b=4'b0001; #10 b=b<<1;#10 b=b<<1;#10 b=b<<1;#10a=4'b1111; b=4'b0001; #10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule//74HC32代码-或门module HC32(A,B,Y); input [4:1]A,B;output [4:1]Y;assign Y=A|B;//或endmodule//74HC32测试平台代码`timescale 1ns/1ns module test32();reg [4:1]a,b;wire [4:1]y;HC32 u32(a,b,y); initialbegina=4'b0000; b=4'b0001; #10 b=b<<1;#10 b=b<<1;#10 b=b<<1;#10a=4'b1111; b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule//74HC86代码-异或门module HC86(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=A&(~B)|(~A&B);//异或endmodule//74HC86测试平台代码`timescale 1ns/1nsmodule test86();reg [4:1]a,b;wire [4:1]y;HC86 u86(a,b,y);initialbegina=4'b0000; b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;#10a=4'b1111; b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule2、第⼀次仿真结果(任选⼀个门,请注明,..,调..)。
数字逻辑实验报告书2

资料范本本资料为word版本,可以直接编辑和打印,感谢您的下载数字逻辑实验报告书2地点:__________________时间:__________________说明:本资料适用于约定双方经过谈判,协商而共同承认,共同遵守的责任与义务,仅供参考,文档可直接下载或修改,不需要的部分可直接删除,使用时请详细阅读内容班级:计科1111学号:姓名:指导老师:数字逻辑.......实验报告书目录绪论 (1)1.1 数字逻辑的概述 (1)HYPERLINK \l "数字电路特点" 1.2 数字电路的特点 (1)HYPERLINK \l "基本逻辑电路" 1.3 基本逻辑电路 (1)1.4 逻辑函数的表达方法 (2)第二章 EWB 5.0概述... .. (2)HYPERLINK \l "EWB软件简介" 2.1 EWB软件简介 (2)HYPERLINK \l "EWB软件特点" 2.2 EWB软件特点 (2)HYPERLINK \l "EWB软件的优点" 2.3 EWB软件的优点 (2)实际电路设计 (3)3.1 0~8的倒计时表 (3)3.2 8~0的倒计时表 (4)3.3 霓虹灯的设计 (6)3.4 打铃系统 (7)3.5 寝室熄灯管理系统 (11)第四章实验过程中遇到的问题 (12)第五章总结 (13)第一章绪论1.1 数字逻辑概述数字逻辑是 HYPERLINK "/ShowTitle.e?sp=S数字电路" 数字电路 HYPERLINK"/v5968060.htm?ch=ch.bk.innerlink" 逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计。
电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为 HYPERLINK "/v399786.htm?ch=ch.bk.innerlink" 组合逻辑电路和 HYPERLINK "/v399794.htm?ch=ch.bk.innerlink" 时序逻辑电路。
广工基于Libero的数字逻辑设计仿真及验证实验实验报告(4、5)

4、组合逻辑电路综合一、实验目的1、了解基于Verilog的时序逻辑电路的设计及其验证。
2、熟悉利用EDA工具进行设计及仿真的流程。
3、掌握使用SmartDesign进行设计的方法。
二、实验环境Libero仿真软件。
三、实验内容在同学自己的工程文件中,新建一个设计文件和一个测试代码文件,命名要求:(1)SmartDesign设计文件命名为“学号+下划线+ Comparator”(例3115000001_Comparator.v)。
(2)测试文件命名为test_Comparator。
1、利用已经完成的74HC85模块,设计一个8位的数值比较器。
2、编写该设计的测试平台模块,完成该设计的综合前仿真、综合、综合后仿真、布局布线、布局布线后仿真。
四、实验结果和数据处理1、SmartDesign画布的截图。
2、测试平台代码`timescale 1ns/1nsmodule test_Comparator;reg [7:0] ina,inb;reg ig,ie,is;wire qg,qe,qs;hyf5_Comparatoru10(.DataA(ina),.DataB(inb),.ing(ig),.ine(ie),.ins(is),.Qg(qg),.Qe(qe),.Qs(qs));initialbeginina=0;repeat(20)#20 ina=$random;endinitialbegininb=0;repeat(20)#20 inb=$random;endinitialbeginig=0;ie=0;is=0;repeat(40)#10 {ig,ie,is}=$random; endinitial#400 $finish;endmodule3、综合前仿真截图4、综合的截图5、综合后仿真截图6、布局布线后仿真的截图。
5、时序逻辑电路一、实验目的1、了解基于Verilog的时序逻辑电路的设计及其验证。
2、熟悉利用EDA工具进行设计及仿真的流程。
数字逻辑种实验报告(3篇)

第1篇一、实验目的1. 理解数字逻辑的基本概念和基本电路;2. 掌握逻辑门电路的设计和实现方法;3. 熟悉组合逻辑电路和时序逻辑电路的设计和仿真;4. 提高动手实践能力和问题解决能力。
二、实验环境1. 实验仪器:数字逻辑实验箱、示波器、逻辑分析仪等;2. 实验软件:Logisim、Proteus等。
三、实验内容1. 逻辑门电路设计(1)实验目的:学习逻辑门电路的基本原理,掌握逻辑门电路的设计方法。
(2)实验内容:1)设计一个与门电路,实现两个输入信号A和B的与运算;2)设计一个或门电路,实现两个输入信号A和B的或运算;3)设计一个非门电路,实现输入信号A的反运算。
(3)实验步骤:1)根据实验要求,利用实验箱上的逻辑门模块搭建相应的逻辑门电路;2)通过示波器观察输入信号和输出信号的变化,验证电路功能;3)利用Logisim软件对电路进行仿真,分析电路的输出波形。
2. 组合逻辑电路设计(1)实验目的:学习组合逻辑电路的设计方法,掌握组合逻辑电路的仿真和测试。
(2)实验内容:1)设计一个2-4线译码器,实现输入信号A、B、C、D到输出信号Y0、Y1、Y2、Y3的译码功能;2)设计一个奇偶校验电路,实现输入信号A、B、C、D的奇偶校验功能。
(3)实验步骤:1)根据实验要求,利用实验箱上的逻辑门模块搭建相应的组合逻辑电路;2)通过示波器观察输入信号和输出信号的变化,验证电路功能;3)利用Logisim软件对电路进行仿真,分析电路的输出波形。
3. 时序逻辑电路设计(1)实验目的:学习时序逻辑电路的设计方法,掌握时序逻辑电路的仿真和测试。
(2)实验内容:1)设计一个异步计数器,实现输入信号CLK的计数功能;2)设计一个同步计数器,实现输入信号CLK的计数功能。
(3)实验步骤:1)根据实验要求,利用实验箱上的触发器模块搭建相应的时序逻辑电路;2)通过示波器观察输入信号和输出信号的变化,验证电路功能;3)利用Logisim软件对电路进行仿真,分析电路的输出波形。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
计算机学院gay
专业班
学号姓名教师评定
实验题目_________基于Libero的数字逻辑设计仿真及验证实验_________
1、熟悉EDA工具的使用;仿真基本门电路。
2、仿真组合逻辑电路。
3、仿真时序逻辑电路。
4、基本门电路、组合电路和时序电路的程序烧录及验证。
5、数字逻辑综合设计仿真及验证。
注:所有基于Libero的实验,都在一个工程项目中完成,文件命名要求:工程文件名(Project Name):学号+下划线+姓名拼音首字母(例:学号3115000001姓名张小童,工程文件名为:3115000001_zxt)
实验报告
1、基本门电路
一、实验目的
1、了解基于Verilog的基本门电路的设计及其验证。
2、熟悉利用EDA工具进行设计及仿真的流程。
3、学习针对实际门电路芯片74HC00、74HC02、74HC0
4、74HC08、74HC32、74HC86进行VerilogHDL设计的方法。
4、掌握Libero软件的使用方法。
二、实验环境
Libero仿真软件。
三、实验内容
1、在自己的工程文件中,新建一个设计代码文件(Verilog Source File),文件命名规则:学号+下划线+BasGate
例:3115000001_BasGate.v
在自己的工程文件中,新建一个测试平台文件(HDL Stimulus File),文件命名规则:test_BasGate.v
2、进行针对74系列基本门电路的设计,并完成相应的仿真实验。
3、参考教材中相应章节的设计代码、测试平台代码(可自行编程),完成2输入与非门、2输入或非门、2输入与门、2输入或门、2输入异或门、非门的设计、综合及仿真。
4、提交针对基本门电路的综合结果,以及相应的仿真结果。
四、实验结果和数据处理
1、门电路
...模块清单及测试平台代码清单
(1)所有硬件功能模块的代码清单(关键代码应有注释)
(2)测试平台模块的代码清单(关键语句应有注释)
2、第一次仿真结果(截图
..)。
先将波形窗口背景设为白色
..,调整窗口至合适大小,使波形能完整显示,再对窗口
截图
..。
后面实验中的仿真使用相同方法处理。
3、综合结果(截图
..)。
先将相关窗口调至合适大小,使RTL图能完整显示,对窗口截图,后面实验中的综合使用相同方法处理)
4、第二次仿真结果(综合后)(截图
..)。
回答输出信号是否有延迟,延迟时间约为多少?
5、第三次仿真结果(布局布线后)(截图
..)。
回答输出信号是否有延迟,延迟时间约为多少?分析是否有出现竞争冒险。
6、布局布线的引脚分配(截图
..)。
7、烧录(请老师检查)。
2、门电路的综合实验
一、实验目的
1、了解基于Verilog的组合逻辑电路的设计及其验证。
2、熟悉利用EDA工具进行设计及仿真的流程。
3、学习针对实际要求进行VerilogHDL设计的方法。
4、掌握Libero软件的使用方法。
二、实验环境
Libero仿真软件。
三、实验内容
继续在上一实验所建的“学号+下划线+BasGate(例:3115000001_BasGate.v)”文件中添加两段模块设计代码,分别完成以下第1、2项实验内容,模块名自拟,要求有注释。
两个设计所对应的测试平台模块代码继续放在test_BasGate.v文件中,模块名自拟,要求有注释。
1、裁判表决电路
设计一个3输入、1输入的举重裁判表决电路,使用VerilogHDL描述该电路的功能,设计相关测试平台,完成综合、布局布线、仿真。
2、交通灯故障检测电路
设计一个3输入、1输出的交通灯故障检测电路,使用VerilogHDL描述该电路的功能,设计相关测试平台,完成综合、布局布线、仿真。
3、以上两个电路任选一个完成烧录和接电测试。
四、实验结果和数据处理
1、所有模块及测试平台代码清单
//举重裁判表决电路
//举重裁判表决电路测试平台
//交通灯故障检测电路
//交通灯故障检测电路测试平台
2、综合前仿真结果截图(任选一个模块,请注明)
3、综合结果RTL视图截图(任选一个模块,请注明)
4、综合后仿真截图(任选一个模块,请注明)。
最大的传输延迟时间大概为多少?
5、布局布线引脚分配窗口截图
6、布局布线后仿真结果截图。
最长的传输延迟时间约为多少?分析是否有出现竞争冒险。
7、烧录后接电测试,给老师检查。
3、组合逻辑电路
一、实验目的
1、了解基于Verilog的组合逻辑电路的设计及其验证。
2、熟悉利用EDA工具进行设计及仿真的流程。
3、学习针对实际组合逻辑电路芯片74HC148、74HC138、74HC153、74HC85、74HC283、74HC4511进行VerilogHDL设计的方法。
4、掌握Libero软件的使用方法。
二、实验环境
Libero仿真软件。
三、实验内容
在同学自己的工程文件中,新建一个设计文件和一个测试代码文件,命名要求:(1)设计文件命名为“学号+下划线+Comb”(例3115000001_comb.v),在该文件中,以下每一个设计对应一个模块,模块名为“姓名拼音首字母+下划线+74HCXX”。
(2)测试文件命名为test_Comb,在该文件中,以下每一个设计对应一个模块,模块名为test_74HCXX。
1、按课本P48的功能表完成74HC148的设计,编写设计代码、测试平台代码。
2、按课本P53的功能表完成74HC138的设计,编写设计代码、测试平台代码。
3、按课本P58的功能表完成74HC153的设计,编写设计代码、测试平台代码。
4、按课本P62的功能表完成74HC85的设计,编写设计代码、测试平台代码。
其中测试平台的测试数据要求:进行比较的A、B两数,分别依次为本人学号的奇数位和偶数位(例如,当学号为3212005896时,A数依次取学号从左到右的奇数位,即数字3、1、0、
5、9,B数依次取学号从左到右的偶数位,即数字2、2、0、8、6),验证A、B 的比较结果。
注意:若两数相等,需考虑级联输入(级联输入的各种取值情况均需包括)。
5、按课本P71的功能表完成74HC283的设计,编写设计代码、测试平台代码。
6、按课本P55的功能表完成74HC4511的设计,要求设计成扩展型,即能显示数字0~9、字母a~f,编写设计代码、测试平台代码。
7、上述内容均要求完成综合、布局布线及三次仿真,选择一个完成烧录及接电测试,完成后给老师检查。
四、实验结果和数据处理
1、所有模块及测试平台代码清单(关键语句要有注释)
//74HC148代码
//74HC148测试平台代码
//74HC138代码
//74HC138测试平台代码
//74HC153代码
//74HC153测试平台代码
//74HC85代码
//74HC85测试平台代码
//74HC283代码
//74HC283测试平台代码
//74HC4511代码
//74HC4511测试平台代码
2、第一次仿真结果截图(以下操作任选一个模块,请注明)
3、综合结果截图
4、第二次仿真结果(综合后仿真截图)。
回答输出信号是否有延迟,最长延迟时间约为多少?
5、布局布线(引脚分配截图)
6、第三次仿真结果(布局布线后)。
回答输出信号是否有延迟,最长延迟时间约为多少?分析是否有出现竞争冒险。
7、烧录,给老师检查。