8路彩灯控制器说课讲解

8路彩灯控制器说课讲解
8路彩灯控制器说课讲解

8路彩灯控制器

8路彩灯控制器

一课程设计题目(与实习目的)

(1)题目:多路彩灯控制器

(2)实习目的:

1.进一步掌握数字电路课程所学的理论知识。

2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。

3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。

4.培养认真严谨的工作作风和实事求是的工作态度。

5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。

二任务和要求

实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。

(1)彩灯控制器设计要求

设计一个8路移存型彩灯控制器,要求:

1. 彩灯实现快慢两种节拍的变换;

2. 8路彩灯能演示三种花型(花型自拟);

3. 彩灯用发光二极管LED模拟;

4. 选做:用EPROM实现8路彩灯控制器,要求同上面的三点。

(2)课程设计的总体要求

1.设计电路实现题目要求;

2.电路在功能相当的情况下设计越简单越好;

3. 注意布线,要直角连接,选最短路径,不要相互交叉;

4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。

三总体方案的选择

(1)总体方案的设计

针对题目设计要求,经过分析与思考,拟定以下二种方案:

方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。

主体框图如下:

方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示;第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。

主体框图如下:

(2)总体方案的选择

方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相对简单。这样设计,其优点在于:设计思想比较简单。元件种类使用少,且都较熟悉易于组装电路。缺点则是:中间单元电路连线过于繁多,容易出错。且可能出现线与关系。要避免这些,则势必造成门电路使用过多。导致电路不稳定,抗干扰能力下降。而后者则将以上两种功能分开设计,各单元电路只实现一种功能。其优点在于:电路设计模块化,易于检查电路,对后面的电路组装及电路调试带来方便。缺点则是:节拍控制电路采用可编辑逻辑电路,原理相对复杂,不易理解。花型控制电路简单,花型也比较简单。

基于以上原因,加上为了确保短时间内完成课程设计,我选择了连线少,易于组装和调试的方案二。

四单元电路的设计

1.设计所使用的元件及工具:

74LS161(四位二进制同步计数器) ---------------------- 2个;

74LS194(移位寄存器) ------------------------------ 2个;

74LS151(八选一数据选择器) --------------------------- 1个;

74LS74(双D 触发器) ---------------------------------- 1个;

74LS20(双四输入与非门) ---------------------------- 3个;

74LS04(六非门) ------------------------------------ 2个;

发光二极管--------------------------------------------- 8个;

555 ----------------------------------------------- 1个;

电容: 4.7μf ----------------------------------------------1个;

0.01μf ---------------------------------------------1个;

电阻:150kΩ ------------------------------------------------------------ 1个;

100Ω ----------------------------------------------1个;

4.7kΩ -----------------------------------------1个;

实验板一个;

万用表一个;

钳子一个;

8路彩灯控制器说课讲解

8路彩灯控制器

8路彩灯控制器 一课程设计题目(与实习目的) (1)题目:多路彩灯控制器 (2)实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。 二任务和要求 实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。 (1)彩灯控制器设计要求 设计一个8路移存型彩灯控制器,要求: 1. 彩灯实现快慢两种节拍的变换; 2. 8路彩灯能演示三种花型(花型自拟); 3. 彩灯用发光二极管LED模拟; 4. 选做:用EPROM实现8路彩灯控制器,要求同上面的三点。 (2)课程设计的总体要求

1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 三总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。 主体框图如下: 方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示;第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。 主体框图如下: (2)总体方案的选择 方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相对简单。这样设计,其优点在于:设计思想比较简单。元件种类使用少,且都较熟悉易于组装电路。缺点则是:中间单元电路连线过于繁多,容易出错。且可能出现线与关系。要避免这些,则势必造成门电路使用过多。导致电路不稳定,抗干扰能力下降。而后者则将以上两种功能分开设计,各单元电路只实现一种功能。其优点在于:电路设计模块化,易于检查电路,对后面的电路组装及电路调试带来方便。缺点则是:节拍控制电路采用可编辑逻辑电路,原理相对复杂,不易理解。花型控制电路简单,花型也比较简单。

EDA课程设计:八路彩灯控制器

EDA课程设计 设计题目:基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.通过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节拍,三种花型循环变化。设计完成后,通过仿真验证与设计要求进行对比,检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8路彩灯控制器,两种节拍分别为和。三种花型分别是: (1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。 (2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。 四、实验环境 PC机一台;软件QuartusⅡ 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。 二选一模块:选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。

2、系统硬件单元电路设计 1.分频模块设计 实验程序:library ieee; use fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin process(clk) variable clkk1:std_logic:='0'; begin if clk'event and clk='1' then clkk1:= not clkk1; end if; clkk<=clkk1; end process; end behav; RTL电路图: 波形图:

16路循环彩灯控制器

南华大学电气工程学院 《电子技术课程设计》任务书 设计题目:16路循环彩灯控制器 专业:本11通信01班 学生姓名: 周世闻学号:20114400123 起迄日期: 2013年12月9日~2013年12月20日指导教师:黄智伟 教研室主任:王彦

课程设计目录 一、内容摘要及关键词 (3) 二、课程设计任务书 (5) 三、课程设计方案及结构框图 (7) 四、课程设计原理 (8) 五、各单元电路的设计、计算及说明 (8) 六、课题整体电路图 (13) 七、芯片功能及其原理介绍 (14) 八、所用元器件清单 (24) 九、课程设计的心得体会 (25) 十、参考文献 (26)

摘要 数字电路是一门专业的基础课程,它具有很强的理论性与十分广泛的工程应用,本次课程设计的主要目的是掌握数字电子技术的一些基础知识,能够在一定程度上应用数电子技术的相关知识解决实际问题。 此次的课程设计是十六路循环彩灯控制器,此电路由四部分组成。如下:第一部分是由555计时器组成的信号发生器,控制频率的变化; 第二部分是由74HC163计数器组成的计数部分,其时钟信号由555计时器提供; 第三部分是由74HC154译码器组成的,计数器输出不同的计数结果,即可控制译码器译码得到不同的输出信号,决定彩灯的循环变化。如果使得计数器的控制端输入不同的控制信号,进行不同的计数,则在输出端可见不同的彩灯循环输出。 而最后一部分则主要是由十六个彩灯构成的输出显示部分,显示在输入的信号不同时的输出结果,方便得出实验结果,进行此次实验设计的总结。 关键词:555计时器、74HC163计数器、74HC154译码器、LED彩灯、控制器、原理。

LED流水灯《八路彩灯控制电路》

《八路彩灯控制电路》课程设计报告 《八路彩灯控制电路》课程设计报告 专业:电子信息工程 班级:2010级2班 姓名:X X 学号:XXXXXXXX 同组成员:XX、XX 指导教师:XXX 2011年12月28日

八路彩灯控制电路 目录 一、课程设计目的 .................................... - 2 - 二、课程设计描述和要求............................... - 2 - (一) 彩灯控制器设计要求........................... - 2 - (二) 课程设计的总体要求........................... - 2 - 三、课程设计内容 .................................... - 3 - (一)原理分析................................... - 3 - (二) 器件选择..................................... - 5 - (三)电路连线................................... - 6 - 1.时钟信号电路 ................................... - 6 - 2. 花型控制信号电路 .............................. - 6 - 3.花型演示电路 ................................... - 7 - 4.总体电路图..................................... - 9 - 5.电路测试....................................... - 9 - 四、分析与总结 ..................................... - 11 -

16路循环彩灯设计报告

循环彩灯控制电路的设计 一、课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会使用multisim 软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 二、任务的描述 用中规模集成电路实现节日彩灯控制电路,主要用计数器、译码器、数据分配器和移位寄存器等芯片集成,本次设计特点是用双色发光二极管,能发出红色和绿色两种色光。有以下四种演示花型: 花型1: 16路彩灯同时亮灭,亮、灭节拍交替进行。能花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。 花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。 花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。 花型3:16路彩灯先从左至右逐路点亮,到全亮后再从右至左逐路熄灭,循环演示。 花型4:16路彩灯分成左、右8路,左8路从左至右逐路点亮、右8路从右至左逐路点亮,到全亮后,左8路从右至左逐路熄灭,右8路从左至右逐路熄灭,循环演示。 要求彩灯亮、灭一次的时间为2秒,每256秒自动转换一种花型。花型转换的顺序为:花型1、花型2、花型3、花型4,演出过程循环演示。 三、设计任务分析 根据任务要求,可将这次任务分成两部分,一部分是输出部分即数据子系统,可用移位寄存器实现外加一个定时器;另一部分是控制电路部分要用数据选择器。 四、具体设计过程的描述 根据彩灯的亮灭规律,为了便于控制,决定采用移位型系统方案,即用移位寄存器模块的输出驱动彩灯,彩灯亮、灭和花型的转换通过改变移位寄存器的工作方式来实现。16路彩灯需要移位寄存器模块的规模为16位,但为了便于实现花型4的演示花型,将其分为左、右两个8位移位寄存器模块LSR8和RSR8。 由于彩灯亮、灭一次的时间为2秒,所以选择系统时钟CLK的频率为0.5Hz,使亮灭节拍与系统时钟周期相同。此时,256秒花型转换周期可以用一个模128的计数器对CLK脉冲计数来方便地实现定时,定时器模块取名为T256S。 将整个系统分为数据子系统和控制子系统,根据它们各自的不同功能划分,上述两个8位移位寄存器模块LSR8、RSR8和256秒定时器模块T256S 显然属于数据子系统,实现数据子系统操作控制功能的部分即为控制子系统,控制器模块取名为CONTR。

8路输出的彩灯循环控制电路数电说明书(内附电路图)

绪论 数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能高,而且容易实现测量的自动化和智能化。随着集成技术的发展,尤其是中,大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电子逻辑课程设计的进行使我们有了这个非常关键的机会。 随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。不论是思想,还是视觉,人们都在追求更高的美。特别使在视觉方面,人们不满足于一种光,彩灯的诞生让人们是视觉对美有了更深的认识。 本设计是一个彩灯控制器,使其实用于家庭、商场、橱窗、舞厅、咖啡厅、公共广场等场所的摆设、装饰、广告、环境净化与美化。

本次课程设计在编写时参考了大量优秀教材,并得到太原科技大学机械电子工程学院测控技术与仪器教研室刘畅老师的大力支持,他提出来许多的意见和建议,在此表示衷心的感谢。 由于编者水平有限,本设计说明书难免出现不妥之处,恳请老师和广大读者给与批评并提出宝贵的意见,我将由衷地欢迎与感激。 编者 2010年于太科大

目录 绪论 (1) 一、课程设计题目 (3) 二、课程设计目的 (4) 三、课程设计基本要求: (4) 四、课程设计任务和具体功能 (5) 五、工作原理 (5) 六、设计总框图 (6) 七、电路元器件的说明 (6) 八、总电路图 (27) 九、调试与检测 (28) 十、误差分析: (28) 十一、设计心得体会。 (28) 附录 (28) 参考文献 (28) 一、课程设计题目:8路输出的彩灯循环控制电路

8路炫光彩灯控制器

炫光彩灯控制器 (做一份课设不容易,我就收点文库币,小小慰问一下自己) 学院:信息工程学院 班级:测控0901班 姓名:严海俊 学号:200901420 同组人:王滨 指导老师: 2012年11月30日

炫光彩灯控制器课程设计 一、设计目的 1.熟悉常用芯片的性能及用途。 2.进一步掌握数字电路课程所学的理论知识,对所学理论的巩固及验,进一步的学习。 3.了解数字电路设计的基本思想和方法,学会科学分析和解决问题。 4.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 5.培养认真严谨的工作作风和实事求是的工作态度,检验自己实践的能力,解决问题的能力及现有知识基础上的创新, 为日后走上工作摸索经验。 二、设计原理 设计一个电路控制彩灯的循环显示,要求八个二极管排成一行,二极管依次亮。 8路炫光彩灯控制电路功能描述:首先点亮第一盏灯,在第一盏灯熄灭之后,点亮第二盏灯,在第二盏灯熄灭之后,再去点亮第三盏灯,依次类推,直到点亮第八盏灯,看上去的效果就像亮点从第一盏。即依次流向第八盏灯,然后全部熄灭,反复循环这一过程。 三、方案论证: 方案一:原理框图如图1所示。 其中8进制计数电路是利用74LS161N十进制计数器改变而成,通过产生1000的输出信号的状态进行异步置零,完成8进制循环,由一片74LS138芯片进行译码,来输出信号控制彩灯。 其中8进制计数电路是利用74LS161N十进制计数器改变而成,通过产生1000的输出信号的状态进行异步置零,完成8进制循环,由一片74LS138芯片进行译码,来输出信号控制彩灯。 方案二:基本实际思路不变,采用74LS160芯片,但是其输出信

8路彩灯控制电路设计

《8路彩灯控制电路设 计》 祥设计报告 专业:_______________ 班级:_______________ 姓名: ________________ 学号:_______________ 指导教师:____________

2014年6月25日

1 ?课程设计的目的 2. 课程设计题目描述和要求 3. 电路设计 4. 设计过程中遇到的问题及解决办法 5. 结论与体会 附表参考书目

1 ?课程设计目的 1.熟悉仿真软件Multisim ,使用软件经行电路仿真; 2.第握数字电路课程学习的常见芯片的功能,熟悉其工作原理: 3.了解数字系统设计的基本思想和方法,学会科学的分析问题、解决问题; 4.增强学生动手能力,增加学生理论和实践结合的机会。 2. 课程设计题目描述和要求 设计题目 八路彩灯控制电路设计,即设计并制作8路彩灯控制电路,用以控制8个 LED按照不同的花色闪烁 设计要求 1. 接通电源,电路开始工作,LED灯闪烁: 2. LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式 3. 电路设计 3. 1闪烁花型设计 花型I :8路彩灯分成两半从右到左依次点亮,全亮后再从右到左依次熄灭。花型1【:8路彩灯由中间到两讪对称的依次点亮,余亮后仍由中间到两劝对称熄灭。 花型1【1: 8路彩灯分成两半从左到右依次点亮,全亮后再从左到右依次熄灭。 花型IV: 8路彩灯由两边到中间对称的依次点亮,全亮后仍由两边到中间对称熄灭。 花型状态编码表如表3. 1. 1所示。 每种花型连续循环两次,四种花型轮流交替,为了更好的显示结果本文用指示灯模拟彩灯。 表3. 1. 1 8路彩灯输出状态编码表

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

六路彩灯循环控制器数电课程设计

一.设计目的及要求 1.1 课程设计的目的 1 、巩固和加强《数字电子技术》课程的理论知识。 2 、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3 、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim 软件对电路仿真。 4 、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6 路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5 种演示花型: 花型1:6 路彩灯同时亮; 花型2:6 路彩灯同时灭; 花型3:6 路彩灯从左至右逐路点亮; 花型4:6 路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6 路彩灯右侧三个全亮,同时左侧三个全灭; 要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3 、花型4,花型5 、花型1 电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图

2.1题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个 74LS160,—个74LS42和两个74HC194这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2 题目设计 花型1,111111 ;花型2,000000 ;花型3,100000 ——010000 ——001000——000100——000010——000001;花型4,111000 ;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用 74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160 计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3结构框图

音乐彩灯控制器(完整版)

摘要 电力电子技术产业作为当代高新技术尤其是信息技术产业与传统产业的接口,在国民经济中扮演着越来越重要的角色。此设计论述了彩灯的总体控制,彩灯将会随着音乐的节奏闪亮,大大的改善了人们的娱乐环境,人们将在音乐和灯光当中消除工作一天的疲惫,并且彩灯的控制不需要人为的操作控制,将会完全自动的运行,使人们感受到了娱乐场所的智能化,人性化。此设计采用了平时常用的集成电路,包括时钟电路、阶梯波电路、滤波器等等,将会很清晰的呈现出它的工作原理,它是电子技术的实例应用。关键词:电子技术音乐彩灯集成电路。II Abstract As the joint between the present hi-Tech industry especially the informationtechnology industry and tradition industry, power electronics industry has been playing amore and more important role in the country economy. Although this industry in Chinahas made some progress through developing more than 30 years, compared with thedeveloped countries the gap is still quite big. This industry can"t meet the demands of thecountry economy development in china. Constituting a series of systemic and scientificdeveloping strategy to speed this industry is the purpose of this paper. The importanteffects of this industry on country economy are discussed, the shaping and developingcondition of this industry is analyzed, and the advantages and disadvantages of thisindustry in China are pointed in this paper. On the basis of referring the advancedexperience of developed countries. keyword: electronic technique music illumination unicircuit

八路彩灯课程设计八路彩灯控制器的设计

课程设计报告 课程: 数字电路 题目:八路彩灯控制器的设计 院系: 物理与电子信息学院 专业: 2011级电子信息科学与技术 学号: 20111302031 姓名: 李俊 完成日期: 2008 年 6 月 22 日

摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。关键词:控制、循环、555定时电路 一、设计内容与设计要求 1.设计目的 ⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。 ⑵掌握计数、译码、显示综合电路的设计与调试方法。 ⑶掌握实际输出电路不同要求的实现方法。 2.设计任务 八路彩示系统,该系统实现以下功能: ⑴八路彩灯从左向右逐次渐亮,间隔为1秒。 ⑵八路彩灯从右向左逐次渐灭,间隔为1秒。 ⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。 3.设计要求 ⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。 ⑵选择元器件型号。 ⑶画出总逻辑图和装配图,并在实验板上组装电路。 ⑷进行电路调试,使其达到设计要求。 ⑸写出总结报告。 4.参考器件 555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。 二、总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。 三、总体设计原理 1.基本原理 由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和

正文-16路循环彩灯【控制专区】电路的设计

16路循环彩灯设计报告 一、课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用软件或软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 二、任务的描述 用中规模集成电路实现节日彩灯控制电路,主要用计数器、译码器、数据分配器和移位寄存器等芯片集成,本次设计特点是用双色发光二极管,能发出红色和绿色两种色光。有以下四种演示花型: 花型1: 16路彩灯同时亮灭,亮、灭节拍交替进行。 花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。 花型3:16路彩灯先从左至右逐路点亮,到全亮后再从右至左逐路熄灭,循环演示。 花型4:16路彩灯分成左、右8路,左8路从左至右逐路点亮、右8 路从右至左逐路点亮,到全亮后,左8路从右至左逐路熄灭,右8路从左至右逐路熄灭,循环演示。 要求彩灯亮、灭一次的时间为2秒,每256秒自动转换一种花型。花型转换的顺序为:花型1、花型2、花型3、花型4,演出过程循环演示。 三、设计任务分析 根据任务要求,可将这次任务分成两部分,一部分是输出部分即数据子系统,可用移位寄存器实现外加一个定时器;另一部分是控制电路部分要用数据选择器。 四、具体设计过程的描述 根据彩灯的亮灭规律,为了便于控制,决定采用移位型系统方案,即用移位寄存器模块的输出驱动彩灯,彩灯亮、灭和花型的转换通过改变移位寄存器的工作方式来实现。16路彩灯需要移位寄存器模块的规模为16位,但为了便于实现花型4的演示花型,将其分为左、右两个8位移位寄存器模块8和8。 由于彩灯亮、灭一次的时间为2秒,所以选择系统时钟的频率为0.5,使亮灭节拍与系统时钟周期相同。此时,256秒花型转换周期可以用一个模128的计数器对脉冲计数来方便地实现定时,定时器模块取名为T256S。 将整个系统分为数据子系统和控制子系统,根据它们各自的不同功能划分,上述两个8位移位寄存器模块8、8和256秒定时器模块T256S显然属于数据子系统,实现数据子系统操作控制功能的部分即为控制子系统,控制器模块取名为。 为了方便操作,设置一个加电后的手工复位信号。当有效时,将控制器模块置于合适的初始状态,使其从花型1开始演示;同时将定时器模块

8路彩灯控制器实验报告

《8路彩灯控制电路设计》课程设计报告 专业: 班级: 姓名: 学号: 同组成员: 指导教师:赵玲 2015年1 月7 日

目录 一、课程设计目的 (3) 二、课程设计要求 (3) (一)、彩灯控制器设计要求 (3) (二)、课程设计总体要求 (3) 三、课程设计内容 (3) (一)、设计原理分析 (3) (二)、器件选择 (5) (三)、具体电路连线及设计思路 (6) 1、时钟控制电路 (6) 2、花色控制电路 (7) 3、花色演示电路 (8) 4、总体电路图 (10) 四、实际焊接电路板思路及过程 (11) (一)、设计思路及电路图 (11) (二)、设计及焊接过程 (11) (三)、电路板展示 (12) 五、课程设计总结与体会 (13)

一、课程设计目的 1.巩固数字电路技术基础课程所学的理论知识,将学习到的理论知识落实到实际,所谓学以致用。并且将模拟电路技术基础和电路分析基础等课程的所学知识加以强化。 2.熟悉几种常用集成数字芯片74LS161、74LS194等的功能和应用,并掌握其工作原理,并将这几种芯片的应用结合起来。从而学会使用常用集成数字芯片进行电路设计。 3.学会使用protues软件进行模拟电路仿真,并且学会将仿真电路实现。 4.了解数字系统设计的基本思想和方法,学会科学分析和解决问题,学会使用基本元器件其进行电路设计。 5.培养自己的动手能力,团队协作能力。 二、课程设计要求 (一)、彩灯控制器设计要求 设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁,要求如下: 1.接通电源,电路开始工作,LED灯闪烁; 2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式; 3.(选做内容)闪烁时实现快慢两种节拍的变换。 (二)、课程设计总体要求 (1)根据设计任务,每人独立完成一份设计电路图,并要求仿真实现;(2)根据设计的电路图,两人一组,利用万能板完成电路的焊接,并调试成功; (3)每人独立完成一份设计报告。 三、课程设计内容 (一)、设计原理分析 1.基本原理如下:总体电路共分三大块。第一块实现时钟信号的产生和控制,利用555定时器连接电路实现该功能;第二块实现花型的控制及节拍控制,利用

循环彩灯控制器

目录 1设计目的及任务 (3) 1.1设计目的 (3) 1.2设计任务 (3) 2. QUARTUS II软件简介 (3) 3 EDA技术 (4) 4设计原理 (4) 4.1设计分析 (4) 4.2循环彩灯控制器整体设计 (5) 4.3程序设计框图 (7) 4.4彩灯控制器模块的程序设计及仿真 (7) 4.4.1VHDL源程序 (8) 4.4.2仿真波形 (9) 4.5结果分析和总结 (9) 5心得体会 (10) 参考文献 (11)

摘要 现在各大中城市商店都已普及彩灯装饰,所彩灯控制技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的彩灯装饰行业也将加速发展,彩灯控制器的普及也是毫无疑问的,所以未来彩灯控制器的市场还是十分有潜力的。彩灯的发展也在日新月异,特别是随着我国科学技术的发展,彩灯艺术更是花样翻新,奇招频出,传统的制灯工艺和现代科学技术紧密结合,将电子、建筑、机械、遥控、声学、光导纤维等新技术、新工艺用于彩灯的设计制作,把形、色、光、声、动相结合,思想性、知识性、趣味性、艺术性相统一。本实验利用VHDL语言对交通控制的逻辑功能进行描述,通过Quartus2和Cyclone芯片的强大功能使其功能得到实现。经过验证,基本达到实验目的,能够满足彩灯控制的需求。 关键字:彩灯控制器 VHDL QuartusⅡ

循环彩灯控制器 1设计目的及任务 1.1设计目的 (1)学习QuartusⅡ的结构、特点和性能; (2)学习的实用方法和编程过程; (3)熟悉EDA工具设计数字电路的设计方法,掌握VHDL硬件描述语言设计方法; (4)通过对循环彩灯控制器的设计,来加深对可编程逻辑器件的理解和掌握; (5)能够运用VHDL编程语言编写实验程序,进一步对所学的EDA知识进行掌握与实际应用。 1.2设计任务 设计一个循环彩灯控制器,该控制器控制红、绿、黄三个发光管循环发亮。要求红发光管亮2秒,绿发光管亮3秒,黄发光管亮1秒。 2. Quartus II软件简介 2.1 Quartus II软件介绍 Quartus II 是Alera公司推出的一款功能强大,兼容性最好的EDA工具软件。该软件界面友好、使用便捷、功能强大,是一个完全集成化的可编程逻辑设计环境,具有开放性、与结构无关、多平台完全集成化丰富的设计库、模块化工具、支持多种硬件描述语言及有多种高级编程语言接口等特点。 Quartus II是Altera公司推出的CPLD/FPGA开发工具,Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片平面布局连线编辑;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验

EDA课程设计八路彩灯控制器样本

EDA课程设计 设计题目: 基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.经过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器, 能够控制8路彩灯按照两种节拍, 三种花型循环变化。设计完成后, 经过仿真验证与设计要求进行对比, 检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序, 设计一个两种节拍、三种花型循环变化的8路彩灯控制器, 两种节拍分别为0.25s和0.5s。三种花型分别是: ( 1) 8路彩灯分成两半, 从左至右顺次渐渐点亮, 全亮后则全灭。 (2)从中间到两边对称地渐渐点亮, 全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮, 全亮后逆次序依次熄灭。 四、实验环境

PC机一台; 软件QuartusⅡ6.0 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块: 把时钟脉冲二分频, 得到另一个时钟脉冲, 让这两种时钟脉冲来交替控制花型的速度。 二选一模块: 选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块: 整个系统的枢纽, 显示彩灯亮的情况。 2、系统硬件单元电路设计 1.分频模块设计 实验程序: library ieee; use ieee.std_logic_1164.all; entity fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin

五彩灯控制器

课程设计报告 课程名称:技能课课程设计 系部: 专业班级: 学号: 学生姓名: 指导教师: 完成时间: 2018.12.15 报告成绩: 1、问题分析 (1) 2、总体设计思想 (2) 3.具体实现方法 (3) 4.硬件连线图及流程图 (4) 5.主程序的流程图 (5)

四、PROTEUS 调试过程及现象 (6) 五、调试问题及解决方法 (10) 六、源程序 (10) 七、心得体会 (13) 八、参考文献 (13) 一、设计课题及要求 1、课题内容: 五彩灯以其流光溢彩的效果而广泛用于广告宣传和装饰美化方面。本设计要求用51单片机控制八只霓虹灯 L1~L8,使其按以下九种状态循环显示。 2、动作要求: 状态1:L1~L8依次点亮至全亮。 状态2:L8~L1依次熄灭至全灭。 状态3:L8~L1依次点亮至全亮。 状态4:L1~L8依次熄灭至全灭。 状态5:L1~L8依次轮流点亮。 状态6:L8~L1依次轮流点亮。 状态7:由中间向两边呈发散式点亮。 状态8:由两边向中间呈收拢式点亮。 状态9:全亮全灭闪烁3次。 按下停止键,灯全熄灭。 二、设计目的: 通过解决实际问题,巩固和加深“单片机原理与应用”课程中所学的理论知识和实验能力,基本掌握单片机应用电路的一般设计方法,提高电子电路的设计和实验能力,加深对单片机软硬知识的理解,获得初步的应用经验,为以后从事生产和科研工作打下一定的基础。 三、具体设计内容:

1、问题分析: 该彩灯控制器是一种基于AT89C51 单片机的彩灯控制器,实现对LED 彩灯的控制。本方案以AT89C51 单片机作为主控核心,与键盘、显示等模块组成核心主控制模块。在主控模块上设有5 个按键和1 位七段码LED 显示器,根据用户需要可以编写若干种亮灯模式,根据各种亮灯时间的不同需要,利用软件产生的延时来控制在不同时刻输出灯亮或灯灭的控制信号,然后驱动灯亮或灭。该新型LED 彩灯与普通LED 彩灯相比,具有体积小、价格低、低能耗等优点。 2、总体设计思想: 五彩灯分为2 部分,即彩灯控制器<主控模块)和显示模块<受控模块)。整个系统工作由软件程序控制运行,根据需要,用户可以在LED 彩灯工作时通过主控模块上的按键来控制LED 彩灯的不同的点亮模式。上电后系统经过初始化,直接进入一号功能键模式。再等待按键输入控制信号控制中断的来临并扫描按键进入中断子服务程序实现1 键、2 键、3 键、4 键和5 键的各种功能。LED 彩灯控制器按照程序设定好的按下不同按键实现不同的5 个亮灯花样模式并显示按下的是几号功能键。该系统中用了两个中断,其中前面四个功能共用一个外部INTT0 则5 号功能通过外部中断INTT1 来实现。七段码LED 显示器用来显示当前是几号键已经按下,这两个功能都是通过查询而进入不同的中断子程序。在硬件上单片机选用MSC-51 系列的80C51,P2 口输出控制信号控制LED 灯的亮或灭,单片机的串行输出接上74HC164 转换成并行输出来控制七段码LED显示器。

四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级: ********* 学号: *********** 姓名: ******* 评分:教师: ****** 20 年月日

数字电路课程设计任务书 20 -20 学年第学期第周-周 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。 摘要

本次课程设计应用了彩灯控制器的原理,主要采用74LS93模十六计数器和555多谐振荡器,实现了四花样自动循环变换。 首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样来确定这四种码,而这四种码可通过模十六计数器74LS93产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS93和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D触发器。当彩灯完成一种花样时,双D触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。 关键词:彩灯控制器、移位寄存器、数据选择器、时钟脉冲、自动转换 目录

前言 (1) 第一章设计内容及要求 (2) 1.1 设计内容 (2) 1.2 设计要求 (2) 1.3 主要参考元器件 (2) 第二章系统组成及工作原理 (3) 2.1 系统组成框图 (3) 2.2 工作原理分析 (3) 第三章硬件电路设计方案 (5) 3.1 方案一 (5) 3.2 方案二 (6) 3.3 单元电路设计,参数计算及器件选择 (7) 3.3.1 时序脉冲电路 (7) 3.3.2 四种码产生电路 (9) 3.3.3 彩灯自动转换电路 (12) 3.3.4 整机电路分析 (15) 第四章软件设计仿真 (16) 4.1 电路仿真图 (16) 第五章实验、调试和测试结果与分析 (19) 5.1 电路的焊接和安装 (19) 5.2 电路的调试 (19) 第六章结论 (22) 参考文献 (23) 附录A 花样彩灯控制器原理总图 (24) 附录B 各个芯片的管脚功能图 (25) 附录C 元器件清单 (26)

十六路循环心形彩灯控制教学教材

十六路循环心形彩灯 控制

目录 摘要 (2) 第一章系统组成及工作原理 (3) 1.1总体设计思 路 (3) 1.2基本原理 (3) 1.3 电路框图 (3) 第二章循环发光器的系统组成 (4) 2.1 555定时电路产生时钟脉 冲 (5) 2.2 移位寄存器 (6) 2.3 方案二 74LS138及192的功 能 (7) 第三章循环电路的总体设计 (8) 3.1 74LS194组成的电 路 (9) 3.2 74LS138及74LS192组成的电路 (11) 第四章实验结果的调试及检测 (13) 4.1调试使用的主要仪 器 (15) 4.2 调试技巧的方法 (15) 4.3调试中出现的故障、原因及排除方法 (15) 第五章总结 (17) 第六章附录 (18)

附录一 (18) 附录二 (18) 附录三 (19) 评分表 (20) 摘要 本次循环控制彩灯电路的制作主要采用74LS194与74LS160芯片接成心形结构的移位寄存器、计数器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、计数器、控制电路、脉冲发生器构成16个彩灯的循环控制,并且通过移位寄存器的控制可以组成多种花型。本次主要为全亮全灭,及对称性移动的功能。 关键词:控制、循环、555定时电路 第一章系统组成及工作原理 1.1总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯对称移动,及全灭全亮功能输出电路。时钟脉冲产生电路由555定时电路组成多谐振荡触发器产生连续始终脉冲,循环控制电路采用 74LS194与74LS160实现,其中74LS160为整个循环控制电路提供驱动作用。1.2基本原理

8路彩灯控制器设计详细讲解(附图)

8路彩灯控制器设计详细讲解(附图)学校:北华大学 班级:信息 11-2 姓名:王杰 学号:28号 指导教师:曲萍萍 实验日期:2013.5.20—5.24 一、软件内容 二、实习目的和任务三、设计原理过程四、最终设计方案五、实习心得 六、参考文献 一、软件内容 MAX-Plus?开发软件是美国Altera公司自行设计的第三代课编程逻辑器件的EDA开发工具。它是一种与器件结构无关的集成设计环境,提供了灵活和高效的界面,允许设计人员选择各种设计输入方法和工具,能够支持Altera公司的MAX、Classic、FLEX以及ACEX系列的PLD器件。MAX-Plus?开发软件丰富的图形界面和完整的、可即时访问的在线帮助文档,使设计人员能够轻松愉快地学习和掌握MAX-Plus?开发软件,方便地实现设计目的。 MAX-Plus?的特点:1、支持多种操作平台;2、提公开发性的界面;3、提供与PLD 器件结构无关的设计环境;4、提供丰富设计库;5、支持多种输入方式;6、具有高度集成化的开发环境;7、具有模块化的设计工具;8、提供Megacore功能;9、具有Opencore特性

MAX-Plus?的主要功能:1、支持PLD器件;2、支持的设计输入方式;3、提供设计编译;4、提供设计验证;5、提供器件的编程和配置 MAX-Plus?的设计流程:1、设计输入;2、设计检查;3、设计便衣;4、设计仿真;5、器件编程;6、测试验证;7、修改设计。二、实习目的和任务实习目的:1、进一步掌握数字电路课程所学的理论知识。 2、熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理, 进一步学会使用其进行电路设计。 3、了解数字系统设计的基本思想和方法,学会科学分析和解决问 题。 4、培养认真严谨的工作作风和实事求是的工作态度。 5、数点课程实验是大学中为我们提供的唯一一次动手实践的机会, 增强动手实践的能力。 实习任务:设计一个能实现8路彩灯循环显示的彩灯控制器。具体要 求如下:1、8路彩灯的循环花型如下表所示;2、节拍变 化的时间为0.5s和0.25s,两种节拍交替运行;3、三种 花型要求自动循环显示 移存器输出状态编码表 节拍序号花型1 花型2 花型3 1 00000000 00000000 00000000 2 10000000 00011000 10001000 3 11000000 00111100 11001100 4 11100000 01111110 11101110 5 11110000 11111111 11111111 6 11111000 11100111 01110111 7 11111100 11000011 00110011 8 11111110 10000001 00010001 9 11111111 10 11111110 11 11111100 12 11111000 13 11110000 14 11100000

相关文档
最新文档