EDA技术实用教程-VHDL版课后答案

EDA技术实用教程-VHDL版课后答案
EDA技术实用教程-VHDL版课后答案

第一章

1-1 EDA技术与ASIC设计和FPGA开发有什么关系? P3~4

答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。

1-2与软件描述语言相比,VHDL有什么特点? P6

答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL 程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。

l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5

什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。

有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。

综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。

1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10

答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。

1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12

答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。

第二章

2-1 叙述EDA的FPGA/CPLD设计流程。P13~16

答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。 2-2 IP是什么?IP与EDA技术的关系是什么? P24~26

IP是什么? 答:IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。

IP与EDA技术的关系是什么?答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP 通常是以硬件描述语言HDL源文件的形式出现。固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬IP提供设计的最终阶段产品:掩模。

2-3 叙述ASIC的设计方法。P18~19

答:ASIC设计方法,按版图结构及制造方法分有半定制(Semi-custom)和全定制(Full-custom)两种实现方法。

全定制方法是一种基于晶体管级的,手工设计版图的制造方法。

半定制法是一种约束性设计方式,约束的目的是简化设计,缩短设计周期,降低设计成本,提高设计正确率。半定制法按逻辑实现的方式不同,可再分为门阵列法、标准单元法和可编程逻辑器件法。

2-4 FPGA/CPLD在ASIC设计中有什么用途? P16,18

答:FPGA/CPLD在ASIC设计中,属于可编程ASIC的逻辑器件;使设计效率大为提高,上市的时间大为缩短。 2-5 简述在基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具,及其在整个流程中的作用。 P19~23答:基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具有:设计输入编辑器(作用:接受不同的设计输

入表达方式,如原理图输入方式、状态图输入方式、波形输入方式以及HDL的文本输入方式。);HDL综合器(作用:HDL综合器根据工艺库和约束条件信息,将设计输入编辑器提供的信息转化为目标器件硬件结构细节的信息,并在数字电路设计技术、化简优化算法以及计算机软件等复杂结体进行优化处理);仿真器(作用:行为模型的表达、电子系统的建模、逻辑电路的验证及门级系统的测试);适配器(作用:完成目标系统在器件上的布局和布线);下载器(作用:把设计结果信息下载到对应的实际器件,实现硬件设计)。

第三章

3-1 OLMC(输出逻辑宏单元)有何功能?说明GAL是怎样实现可编程组合电路与时序电路的。 P34~36 OLMC有何功能? 答:OLMC单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等。

说明GAL是怎样实现可编程组合电路与时序电路的? 答:GAL(通用阵列逻辑器件)是通过对其中的OLMC (输出逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的。

3-2 什么是基于乘积项的可编程逻辑结构? P33~34,40

答:GAL、CPLD之类都是基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的PAL(可编程阵列逻辑)器件构成。

3-3 什么是基于查找表的可编程逻辑结构? P40~41

答:FPGA(现场可编程门阵列)是基于查找表的可编程逻辑结构。

3-4 FPGA系列器件中的LAB有何作用? P43~45

答:FPGA(Cyclone/Cyclone II)系列器件主要由逻辑阵列块LAB、嵌入式存储器块(EAB)、I/O单元、嵌入式硬件乘法器和PLL等模块构成;其中LAB(逻辑阵列块)由一系列相邻的LE(逻辑单元)构成的;FPGA可编程资源主要来自逻辑阵列块LAB。

3-5 与传统的测试技术相比,边界扫描技术有何优点? P47~50

答:使用BST(边界扫描测试)规范测试,不必使用物理探针,可在器件正常工作时在系统捕获测量的功能数据。克服传统的外探针测试法和“针床”夹具测试法来无法对IC内部节点无法测试的难题。

3-6 解释编程与配置这两个概念。P58

答:编程:基于电可擦除存储单元的EEPROM或Flash技术。CPLD一股使用此技术进行编程。CPLD被编程后改变了电可擦除存储单元中的信息,掉电后可保存。电可擦除编程工艺的优点是编程后信息不会因掉电而丢失,但编程次数有限,编程的速度不快。

配置:基于SRAM查找表的编程单元。编程信息是保存在SRAM中的,SRAM在掉电后编程信息立即丢失,在下次上电后,还需要重新载入编程信息。大部分FPGA采用该种编程工艺。该类器件的编程一般称为配置。对于SRAM 型FPGA来说,配置次数无限,且速度快;在加电时可随时更改逻辑;下载信息的保密性也不如电可擦除的编程。 3-7 请参阅相关资料,并回答问题:按本章给出的归类方式,将基于乘积项的可编程逻辑结构的PLD器件归类为CPLD;将基于查找表的可编程逻辑结构的PLD器什归类为FPGA,那么,APEX系列属于什么类型PLD器件? MAX II系列又属于什么类型的PLD器件?为什么? P54~56

答:APEX(Advanced Logic Element Matrix)系列属于FPGA类型PLD器件;编程信息存于SRAM中。MAX II 系列属于CPLD类型的PLD器件;编程信息存于EEPROM中。

第四章

4-1:画出与下例实体描述对应的原理图符号元件:

ENTITY buf3s IS -- 实体1:三态缓冲器

PORT (input : IN STD_LOGIC ; -- 输入端

enable : IN STD_LOGIC ; -- 使能端

output : OUT STD_LOGIC ) ; -- 输出端

END buf3x ;

ENTITY mux21 IS --实体2: 2选1多路选择器

PORT (in0, in1, sel : IN STD_LOGIC;

output : OUT STD_LOGIC);

4-1.答案

4-2. 图3-30所示的是4选1多路选择器,试分别用IF_THEN语句和CASE语句的表达方式写出此电路的VHDL程序。选择控制的信号s1和s0的数据类型为STD_LOGIC_VECTOR;当s1='0',s0='0';s1='0',s0='1';s1='1',s0='0'和s1='1',s0='1'分别执行y<=a、y<=b、y<=c、y<=d。

4-2.答案

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MUX41 IS

PORT(s:IN STD_LOGIC_VECTOR(1 DOWNTO 0); --输入选择信号

a,b,c,d:IN STD_LOGIC; --输入信号

y:OUT STD_LOGIC);--输出端

END ENTITY;

ARCHITECTURE ART OF MUX41 IS

BEGIN

PROCESS(s)

BEGIN

IF (S="00") THEN y<=a;

ELSIF (S="01") TH EN y<=b;

ELSIF (S="10") TH EN y<=c;

ELSIF (S="11") TH EN y<=d;

ELSE y<=NULL;

END IF;

EDN PROCESS;

END ART;

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MUX41 IS

PORT(s:IN STD_LOGIC_VECTOR(1 DOWNTO 0); --输入选择信号

a,b,c,d:IN STD_LOGIC; --输入信号

y:OUT STD_LOGIC);--输出端

END MUX41;

ARCHITECTURE ART OF MUX41 IS

BEGIN

PROCESS(s)

BEGIN

CASE s IS

WHEN “00” => y<=a;

WHEN “01” => y<=b;

WHEN “10” => y<=c;

WHEN “11” => y<=d;

WHEN OTHERS =>NULL;

END CASE;

END PROCESS;

END ART;

4-3. 图3-31所示的是双2选1多路选择器构成的电路MUXK,对于其中MUX21A,当s='0'和'1'时,分别有y<='a'和y<='b'。试在一个结构体中用两个进程来表达此电路,每个进程中用CASE语句描述一个2选1多路选择器MUX21A。

4-3.答案

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MUX221 IS

PORT(a1,a2,a3:IN STD_LOGIC_VECTOR(1 DOWNTO 0); --输入信号

s0,s1:IN STD_LOGIC;

outy:OUT STD_LOGIC);--输出端

END ENTITY;

ARCHITECTURE ONE OF MUX221 IS

SIGNAL tmp : STD_LOGIC;

BEGIN

PR01:PROCESS(s0)

BEGIN

IF s0=”0” THEN tmp<=a2;

ELSE tmp<=a3;

END IF;

END PROCESS;

PR02:PROCESS(s1)

BEGIN

IF s1=”0” THEN outy<=a1;

ELSE outy<=tmp;

END IF;

END PROCESS;

END ARCHITECTURE ONE;

END CASE;

4-4.下图是一个含有上升沿触发的D触发器的时序电路,试写出此电路的VHDL设计文件。

https://www.360docs.net/doc/0011120336.html,/photoview-266109892-28976096.html

4-4.答案

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MULTI IS

PORT(CL:IN STD_LOGIC; --输入选择信号

CLK0:IN STD_LOGIC; --输入信号

OUT1:OUT STD_LOGIC);--输出端

END ENTITY;

ARCHITECTURE ONE OF MULTI IS

SIGNAL Q : STD_LOGIC;

BEGIN

PR01: PROCESS(CLK0)

BEGIN

IF CLK ‘EVENT AND CLK=’1’

THEN Q<=NOT(CL OR Q);ELSE

END IF;

END PROCESS;

PR02: PROCESS(CLK0)

BEGIN

OUT1<=Q;

END PROCESS;

END ARCHITECTURE ONE;

END PROCESS;

4-5.给出1位全减器的VHDL描述。要求:

(1) 首先设计1位半减器,然后用例化语句将它们连接起来,图3-32中h_suber是半减器,diff是输出差,s_out是借位输出,sub_in是借位输入。

(2) 以1位全减器为基本硬件,构成串行借位的8位减法器,要求用例化语句来完成此项设计(减法运算是 x – y - sun_in = diffr)https://www.360docs.net/doc/0011120336.html,/photoview-266107820-28976096.html

4-5.答案

底层文件1:or2a.VHD实现或门操作

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY or2a IS

PORT(a,b:IN STD_LOGIC;

c:OUT STD_LOGIC);

END ENTITY or2a;

ARCHITECTURE one OF or2a IS

BEGIN

c <= a OR b;

END ARCHITECTURE one;

底层文件2:h_subber.VHD实现一位半减器

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY h_subber IS

PORT(x,y:IN STD_LOGIC;

diff,s_out::OUT STD_LOGIC);

END ENTITY h_subber;

ARCHITECTURE ONE OF h_subber IS

SIGNAL xyz: STD_LOGIC_VECTOR(1 DOWNTO 0);

BEGIN

xyz <= x & y;

PROCESS(xyz)

BEGIN

CASE xyz IS

WHEN "00" => diff<='0';s_out<='0';

WHEN "01" => diff<='1';s_out<='1';

WHEN "10" => diff<='1';s_out<='0';

WHEN "11" => diff<='0';s_out<='0';

WHEN OTHERS => NULL;

END CASE;

END PROCESS;

END ARCHITECTURE ONE;

顶层文件:f_subber.VHD实现一位全减器

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY f_subber IS

PORT(x,y,sub_in:IN STD_LOGIC;

diffr,sub_out:OUT STD_LOGIC);

END ENTITY f_subber;

ARCHITECTURE ONE OF f_subber IS

COMPONENT h_subber

PORT(x,y:IN STD_LOGIC;

diff,S_out:OUT STD_LOGIC);

END COMPONENT;

COMPONENT or2a

PORT(a,b:IN STD_LOGIC;

c:OUT STD_LOGIC);

END COMPONENT;

SIGNAL d,e,f: STD_LOGIC;

BEGIN

u1: h_subber PORT MAP(x=>x,y=>y,diff=>d,s_out=>e);

u2: h_subber PORT MAP(x=>d,y=>sub_in,diff=>diffr,s_out=>f); u3: or2a PORT MAP(a=>f,b=>e,c=>sub_out);

END ARCHITECTURE ONE;

END ARCHITECTURE ART;

4-6.根据下图,写出顶层文件MX3256.VHD的VHDL设计文件。 https://www.360docs.net/doc/0011120336.html,/photoview-266111873-28976096.html

4-6.答案

MAX3256顶层文件

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY MAX3256 IS

PORT (INA,INB,INCK: IN STD_LOGIC;

INC: IN STD_LOGIC;

E,OUT:OUT STD_LOGIC);

END ENTITY MAX3256;

ARCHITECTURE ONE OF MAX3256 IS

COMPONENT LK35 --调用LK35声明语句

PORT(A1,A2:IN STD_LOGIC;

CLK:IN STD_LOGIC;

Q1,Q2:OUT STD_LOGIC);

END COMPONENT;

COMPONENT D --调用D触发器声明语句

PORT(D,C:IN STD_LOGIC;

CLK:IN STD_LOGIC;

Q:OUT STD_LOGIC);

END COMPONENT;

COMPONENT MUX21--调用二选一选择器声明语句

PORT(B,A:IN STD_LOGIC;

S:IN STD_LOGIC;

C:OUT STD_LOGIC);

END COMPONENT;

SIGNAL AA,BB,CC,DD: STD_LOGIC;

BEGIN

u1: LK35 PORT MAP(A1=>INA,A2=>INB,CLK=INCK, Q1=>AA,Q2=>BB);

u2: D PORT MAP(D=>BB;CLK=>INCK,C=>INC,Q=>CC);

u3: LK35 PORT MAP (A1=>BB,A2=>CC,CLK=INCK, Q1=>DD,Q2=>OUT1); u4: MUX21 PORT MAP (B=>AA,A=>DD,S=>BB,C=>E);

END ARCHITECTURE ONE;

设计含有异步清零和计数使能的16位二进制加减可控计数器。

4-7.答案:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT16 IS

PORT(CLK,RST,EN:IN STD_LOGIC;

CHOOSE:IN BIT;

SETDATA:BUFFER INTEGER RANCE 65535 DOWNTO 0;

COUT: BUFFER INTEGER RANCE 65535 DOWNTO 0);

END CNT16;

ARCHITECTURE ONE OF CNT16 IS

BEGIN

PROCESS(CLK,RST,SDATA)

VARIABLE QI:STD_LOGIC_VECTOR(65535 DOWNTO 0);

BEGIN

IF RST='1' THEN --计数器异步复位

QI:=(OTHERS=>'0');

ELSIF SET=’1’ THEN--计数器一步置位

QI:=SETDATA;

ELSIF CLK'EVENT AND CLK='1' THEN --检测时钟上升沿 IF EN=’1’ THEN –检测是否允许计数

IF CHOOSE=’1’ THEN --选择加法计数

QI:=QI+1; --计数器加一

ELSE QI=QI-1; --计数器加一

END IF;

END IF;

END IF;

COUT<=QI;--将计数值向端口输出

END PROCESS;

END ONE;

第五章

5-1 归纳利用Quartus II进行VHDL文本输入设计的流程:从文件输入一直到SignalTap II测试。P95~P115 答:1 建立工作库文件夹和编辑设计文件;2 创建工程;3 编译前设置;4 全程编译;5 时序仿真;6 引脚锁定;7 配置文件下载;8 打开SignalTap II编辑窗口;9 调入SignalTap II的待测信号;10 SignalTap II 参数设置;11 SignalTap II参数设置文件存盘;12 带有SignalTap II测试信息的编译下载;13 启动SignalTap II进行采样与分析;14 SignalTap II的其他设置和控制方法。

5.6

5.7

5.8

5.9

5.10

5.12

5.13

5.14

第六章

6-1 什么是固有延时?什么是惯性延时?P150~151

答:固有延时(Inertial Delay)也称为惯性延时,固有延时的主要物理机制是分布电容效应。

6-2 δ是什么?在VHDL中,δ有什么用处?P152

δ是什么? 答:在VHDL仿真和综合器中,默认的固有延时量(它在数学上是一个无穷小量),被称为δ延时。

在VHDL中,δ有什么用处?答:在VHDL信号赋值中未给出固有延时情况下,VHDL仿真器和综合器将自动为系统中的信号赋值配置一足够小而又能满足逻辑排序的延时量δ;使并行语句和顺序语句中的并列赋值逻辑得以正确执行。

6-4 说明信号和变量的功能特点,以及应用上的异同点。P128~P129

答:变量:变量是一个局部量,只能在进程和子程序中使用。变量不能将信息带出对它做出定义的当前结构。变量的赋值是一种理想化的数据传输,是立即发生的,不存在任何延时行为。变量的主要作用是在进程中作为临时的数据存储单元。

信号:信号是描述硬件系统的基本数据对象,其性质类似于连接线;可作为设计实体中并行语句模块间的信息交流通道。信号不但可以容纳当前值,也可以保持历史值;与触发器的记忆功能有很好的对应关系。

6-5 在VHDL设计中,给时序电路清零(复位)有两种力方法,它们是什么?

解:设Q定义成信号,一种方法:Q<=“000…000”;其中“000…000”反映出信号Q的位宽度。第二种方法:Q<=(OTHERS=>‘0’);其中OTHERS=>‘0’不需要给出信号Q的位宽度,即可对Q清零。

6-6 哪一种复位方法必须将复位信号放在敏感信号表中?给出这两种电路的VHDL描述。

解:边沿触发复位信号要将复位信号放在进程的敏感信号表中。

(1)边沿触发复位信号

…………………….

ARCHITECTURE bhv 0F DFF3 IS

SIGNAL QQ:STD_LOGIC;

BEGIN

PROCESS(RST)

BEGIN

IF RST’EVENT AND RST=‘1' THEN

QQ<=(OTHERS=>‘0’);

END IF;

END PROCESS;

Q1<=QQ;

END;

………………………

(2)电平触发复位信号

…………………….

ARCHITECTURE bhv 0F DFF3 IS

SIGNAL QQ:STD_LOGIC;

BEGIN

PROCESS(CLK)

BEGIN

IF RST=‘1' THEN

QQ<=(OTHERS=>‘0’);

END IF;

END PROCESS;

Q1<=QQ;

END;

………………………

6-7 什么是重载函数?重载算符有何用处?如何调用重载算符函数?

答:(1)什么是重载函数? 根据操作对象变换处理功能。

(2)重载算符有何用处? 用于两个不同类型的操作数据自动转换成同种数据类型,并进行运算处理。

(3)如何调用重载算符函数?采用隐式方式调用,无需事先声明。

6-8 判断下面三个程序中是否有错误,若有则指出错误所在,并给出完整程序。

程序1:

Signal A,EN : std_logic;

…………………

Process(A, EN)

Variable B: std_log ic;

Begin

if EN=l then B<=A; end if;--将“B<=A”改成“B:=A”

end process;

程序2:

Architecture one of sample is

variable a,b,c:integer;

begin

c<=a+b;--将“c<=a+b”改成“c:=a+b”

end;

程序3:

library ieee;

use ieee.std_logic_1164.all;

entity mux21 is

PORT(a,b:in std_logic; sel:in std_loglc;c:out std_logle;); --将“;)”改成“)”

end sam2; --将“sam2”改成“entity mux21”

architecture one of mux2l is

begin

--增加“process(a,b,sel)begin”

if sel= '0' then c:=a; else c:=b; end if; --应改成“if sel= '0' then c<=a; else c<=b; end if;”

--增加“end process;”

end two; --将“two”改成“architecture one”

7-2 LPM_ROM、LPM_RAM、LPM_FIFO等模块与FPGA中嵌入的EAB、ESB、M4K有怎样的联系?

答:ACEXlK系列为EAB;APEX20K系列为ESB;Cyclone系列为M4K

第八章

8-1仿照例8-1,将例8-4单进程用两个进程,即一个时序进程,一个组合进程表达出来。 --解:【例8-4】的改写如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MOORE1 IS

PORT(DATAIN: IN STD_LOGIC_VECTOR(1 DOWNTO 0);

CLK,RST: IN STD_LOGIC;

Q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END MOORE1;

ARCHITECTURE behav OF MOORE1 IS

TYPE ST_TYPE IS (ST0,ST1,ST2,ST3,ST4);

SIGNAL C_ST,N_ST: ST_TYPE;

BEGIN

REG: PROCESS(CLK,RST)

BEGIN

IF RST='1' THEN C_ST<=ST0; -- Q<="0000";

ELSIF CLK'EVENT AND CLK='1' THEN

C_ST<=N_ST;

END IF;

END PROCESS REG;

COM: PROCESS(C_ST,DATAIN)

BEGIN

CASE C_ST IS

WHEN ST0=> IF DATAIN="10" THEN N_ST<=ST1;

ELSE N_ST<=ST0; END IF;

Q<="1001";

WHEN ST1=> IF DATAIN="11" THEN N_ST<=ST2;

ELSE N_ST<=ST1 ;END IF;

Q<="0101";

WHEN ST2=> IF DATAIN="01" THEN N_ST<=ST3;

ELSE N_ST<=ST0 ;END IF;

Q<="1100";

WHEN ST3=> IF DATAIN="00" THEN N_ST<=ST4;

ELSE N_ST<=ST2; END IF;

Q<="0010";

WHEN ST4=>IF DATAIN="11" THEN N_ST<=ST0;

ELSE N_ST<=ST3 ;END IF;

Q<="1001" ;

WHEN OTHERS=> N_ST<=ST0;

END CASE;

END PROCESS COM;

END behav;

8-2为确保例8-5(2进程Mealy型状态机)的状态机输出信号没有毛刺,试用例8-4的方式构成一个单进程状态,使输出信号得到可靠锁存,在相同输入信号条件下,给出两程序的仿真波形。

--解:【例8-5】改写如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MEALY1 IS

PORT(CLK,DATAIN,RESET: IN STD_LOGIC;

Q:OUT STD_LOGIC_VECTOR(4 DOWNTO 0));

END MEALY1;

ARCHITECTURE behav OF MEALY1 IS

TYPE states IS (st0,st1,st2,st3,st4);

SIGNAL STX: states;

BEGIN

PROCESS(CLK,RESET) --单一进程

BEGIN

IF RESET='1' THEN STX<=ST0;

ELSIF CLK'EVENT AND CLK='1' THEN

CASE STX IS

WHEN st0=> IF DATAIN='1' THEN STX<=st1; END IF;

IF DATAIN='1' THEN Q<="10000";

ELSE Q<="01010" ; END IF;

WHEN st1=> IF DATAIN='0' THEN STX<=st2; END IF;

IF DATAIN='0' THEN Q<="10111";

ELSE Q<="10100" ; END IF;

WHEN st2=> IF DATAIN='1' THEN STX<=st3; END IF;

IF DATAIN='1' THEN Q<="10101";

ELSE Q<="10011" ; END IF;

WHEN st3=> IF DATAIN='0' THEN STX<=st4; END IF;

IF DATAIN='0' THEN Q<="11011";

ELSE Q<="01001"; END IF ;

WHEN st4=> IF DATAIN='1' THEN STX<=st0; END IF;

IF DATAIN='1' THEN Q<="11101";

ELSE Q<="01101"; END IF;

WHEN OTHERS=> STX<=st0; Q<="00000";

END CASE;

END IF;

END PROCESS;

END behav;

图8-6控制ADC0809采样状态图

-- 【例8-2】根据图8-6状态图,采用Moore型状态机,设计ADC0809采样控制器。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY ADCINT IS

PORT(D: IN STD_LOGIC_VECTOR(7 DOWNTO 0); --来自0809转换好的8位数据

CLK: IN STD_LOGIC; --状态机工作时钟

EOC: IN STD_LOGIC; --转换状态指示,低电平表示正在转换

ALE:OUT STD_LOGIC; --8个模拟信号通道地址锁存信号

START:OUT STD_LOGIC; --转换开始信号

OE:OUT STD_LOGIC; --数据输出三态控制信号

ADDA:OUT STD_LOGIC; --信号通道最低位控制信号

LOCK0:OUT STD_LOGIC; --观察数据锁存时钟

Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); --8位数据输出

END ADCINT;

ARCHITECTURE behav OF ADCINT IS

TYPE states IS(st0,st1,St2,st3,st4); --定义各状态子类型

SIGNAL current_state,next_state: states:=st0;

SIGNAL REGL: STD_LOGIC_VECTOR(7 DOWNTO 0);

SIGNAL LOCK: STD_LOGIC;--转换后数据输出锁存时钟信号

BEGIN

ADDA<='1';--当ADDA<='0',模拟信号进入通道IN0;当ADDA<='1',则进入通道INI Q<=REGL;LOCK0<=LOCK;

COM: PROCESS(current_state,EOC) BEGIN --规定各状态转换方式

CASE current_state IS

WHEN st0=> ALE<='0';START<='0';LOCK<='0';OE<='0';

next_state<=st1; --0809初始化

WHEN st1=> ALE<='1';START<='1';LOCK<='0';OE<='0';

next_state<=st2 ;--启动采样

WHEN st2=> ALE<='0';START<='0';LOCK<='0'; OE<='0';

IF(EOC='1') THEN next_state<=st3;--EOC=1表明转换结束

ELSE next_state<=st2; END IF; --转换未结束,继续等待

WHEN st3=> ALE<='0';START<='0';LOCK<='0';OE<='1';

next_state<=st4;--开启OE,输出转换好的数据

WHEN st4=> ALE<='0';START<='0';LOCK<='1';OE<='1';next_state<=st0;

WHEN OTHERS=>next_state<=st0;

END CASE;

END PROCESS COM;

REG:PROCESS(CLK)

BEGIN

IF(CLK'EVENT AND CLK='1') THEN current_state<=next_state; END IF;

END PROCESS REG; --由信号current_state将当前状态值带出此进程:REG

LATCH1: PROCESS(LOCK) --此进程中,在LOCK的上升沿,将转换好的数据锁入

BEGIN

IF LOCK='1' AND LOCK'EVENT THEN REGL<=D; END IF;

END PROCESS LATCH1;

END behav;

8-5在不改变原代码功能的条件下用两种方法改写例8-2,使其输出的控制信号(ALE、START、OE、LOCK)没有毛刺。方法1:将输出信号锁存后输出;方法2:使用状态码直接输出型状态机,并比较这三种状态机的特点。

-- 解:"【例8-2】根据图8-6状态图,采用Moore型状态机,设计ADC0809采样控制器" 方法1(将输出控制信号锁存后输出)的VHDL程序代码如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY ADCINT IS

PORT(D: IN STD_LOGIC_VECTOR(7 DOWNTO 0); --来自0809转换好的8位数据

CLK: IN STD_LOGIC; --状态机工作时钟

EOC: IN STD_LOGIC; --转换状态指示,低电平表示正在转换

ALE: OUT STD_LOGIC; --8个模拟信号通道地址锁存信号

START: OUT STD_LOGIC; --转换开始信号

OE: OUT STD_LOGIC; --数据输出三态控制信号

ADDA: OUT STD_LOGIC; --信号通道最低位控制信号

LOCK0: OUT STD_LOGIC; --观察数据锁存时钟

Q: OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); --8位数据输出

END ADCINT;

ARCHITECTURE behav OF ADCINT IS

TYPE states IS(st0,st1,St2,st3,st4); --定义各状态子类型

SIGNAL current_state,next_state: states:=st0;

SIGNAL REGL: STD_LOGIC_VECTOR(7 DOWNTO 0);

SIGNAL LOCK: STD_LOGIC;--转换后数据输出锁存时钟信号

SIGNAL ALE0: STD_LOGIC; --8个模拟信号通道地址锁存信号

SIGNAL START0: STD_LOGIC; --转换开始信号

SIGNAL OE0: STD_LOGIC; --数据输出三态控制信号

BEGIN

ADDA<='1';--当ADDA<='0',模拟信号进入通道IN0;当ADDA<='1',则进入通道INI

Q<=REGL; --LOCK0<=LOCK;

COM: PROCESS(current_state,EOC,CLK) BEGIN --规定各状态转换方式

CASE current_state IS

WHEN st0=> ALE0<='0';START0<='0';LOCK<='0';OE0<='0';

next_state<=st1; --0809初始化

WHEN st1=> ALE0<='1';START0<='1';LOCK<='0';OE0<='0';

next_state<=st2 ;--启动采样

WHEN st2=> ALE0<='0';START0<='0';LOCK<='0'; OE0<='0';

IF(EOC='1') THEN next_state<=st3;--EOC=1表明转换结束

ELSE next_state<=st2; END IF; --转换未结束,继续等待

WHEN st3=> ALE0<='0';START0<='0';LOCK<='0';OE0<='1';

next_state<=st4;--开启OE,输出转换好的数据

WHEN st4=> ALE0<='0';START0<='0';LOCK<='1';OE0<='1';next_state<=st0;

WHEN OTHERS=>next_state<=st0;

END CASE;

IF CLK'EVENT AND CLK= '1' THEN

ALE<=ALE0;START<=START0;LOCK0<=LOCK;OE<=OE0;--方法1:信号锁存后输出

END IF;

END PROCESS COM;

REG:PROCESS(CLK)

BEGIN

IF(CLK'EVENT AND CLK='1') THEN current_state<=next_state; END IF;

END PROCESS REG; --由信号current_state将当前状态值带出此进程:REG

LATCH1: PROCESS(LOCK) --此进程中,在LOCK的上升沿,将转换好的数据锁入

BEGIN

IF LOCK='1' AND LOCK'EVENT THEN REGL<=D; END IF;

END PROCESS LATCH1;

END behav;

-- 解:"【例8-2】根据图8-6状态图,采用Moore型状态机,设计ADC0809采样控制器" 方法2(使用状态码直接输出型状态机)的VHDL程序代码(【例8-7】的根据状态编码表8-1给出ADC0809数据采样的状态机)如下: LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY AD0809 IS

PORT( D: IN STD_LOGIC_VECTOR(7 DOWNTO 0);

CLK,EOC: IN STD_LOGIC;

ALE,START,OE,ADDA:OUT STD_LOGIC;

c_state:OUT STD_LOGIC_VECTOR(4 DOWNTO 0);

Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END AD0809;

ARCHITECTURE behav OF AD0809 IS

SIGNAL current_state,next_state: STD_LOGIC_VECTOR(4 DOWNTO 0);

CONSTANT st0: STD_LOGIC_VECTOR(4 DOWNTO 0):="00000";

CONSTANT st1: STD_LOGIC_VECTOR(4 DOWNTO 0):="11000";

CONSTANT st2: STD_LOGIC_VECTOR(4 DOWNTO 0):="00001";

CONSTANT st3: STD_LOGIC_VECTOR(4 DOWNTO 0):="00100";

CONSTANT st4: STD_LOGIC_VECTOR(4 DOWNTO 0):="00110";

SIGNAL REGL: STD_LOGIC_VECTOR(7 DOWNTO 0);

SIGNAL LOCK: STD_LOGIC;

BEGIN

ADDA<='1';Q<=REGL;START<=current_state(4);ALE<=current_state(3);

OE<=current_state(2);LOCK<=current_state(1);c_state<=current_state;

COM: PROCESS(current_state,EOC) BEGIN --规定各状态转换方式

CASE current_state IS

WHEN st0=> next_state<=st1; --0809初始化

WHEN st1=> next_state<=st2; --启动采样

WHEN st2=> IF(EOC='1') THEN next_state<=st3; --EOC=1表明转换结束

ELSE next_state<=st2; --转换未结束,继续等待

END IF;

WHEN st3=> next_state<=st4; --开启OE,输出转换好的数据

WHEN st4=> next_state<=st0;

WHEN OTHERS=> next_state<=st0;

END CASE;

END PROCESS COM;

REG: PROCESS(CLK)

BEGIN

IF (CLK'EVENT AND CLK='1') THEN current_state<=next_state;

END IF;

END PROCESS REG; --由信号current_state将当前状态值带出此进程:REG LATCH1: PROCESS(LOCK)--此进程中,在LOCK的上升沿,将转换好的数据锁入 BEGIN

IF LOCK='1' AND LOCK'EVENT THEN REGL<=D;

END IF;

END PROCESS LATCH1;

END behav;

形势与政策论文_-中国周边安全.

中国周边安全形势分析 中国是个疆域辽阔、陆海兼备的濒海大国。处于亚欧大陆的东南部,太平洋西岸人口众多,幅员辽阔。拥有960万平方公里陆地的同时,还拥有300万平方公里的蓝色海洋国土,有便利的海上通道和海洋资源。并且中国有众多的邻国,在陆地与中国接壤的有十四个,隔海相望的有九个国家,拥有漫长的陆地边界和海岸线。因此与周边国家的解除与摩擦也是不可避免的,能够正确的分析和合理的解决中国与周边国家的关系十分重要。 当前我国周边安全形势呈现出五大基本特征:朝鲜半岛局势陷于僵局,海上问题矛盾激化,美日同盟关系加强,中国与周边国家相互刺激军备竞赛,非传统安全威胁上升。与之前比较,本年度中国周边安全环境并没有明显改善,特别是以非传统安全为主要内涵的海上安全问题极为突出。展望过去,中国周边安全形势两大议程值得关注:第一,中美竞争加剧,美国仍将通过其主导的“雁型安全模式”,规制中国的崛起;第二,周边多个国家和地区进入大选年,其内部政治变化可能引 周边地区在中国外交的总体布局中处于”首要”地位,作为世界性的大国,世界也需要中国的安全来保持世界的安全。中国的周边包括六大“板块”,分别是中亚、南亚、东南亚、东北亚,以及西亚与南太平洋的部分地区.可将这六块地区统称为中国的“大周边”。当前中国周边地区的多个国家政局动荡不定,多个地缘方向滋生乱局,周边不稳趋于常态化、持久化、复杂化与联动化。周边形势动荡既有自身矛盾错综复杂的“内因”,也有大国角逐与干涉的“外因”。周边动荡加剧对中国构成诸多严峻挑战,中国应加快制定”大周边战略”.通过积极、有效、妥善应对周边不稳,不仅为自身持续与完全崛起营造更加有利的周边环境,而且也为周边的和平稳定与世界的和平发展做出应有的重要贡献。 然而近些年来中国周边安全却是一个巨大的话题。下面将从多个方面介绍。 1.中国南海海洋权益之争

EDA技术与VHDL程序设计基础教程习题答案

EDA技术与VHDL程序设计基础教程习题答案 第1章EDA习题答案 1.8.1填空 1.EDA的英文全称是Electronic Design Automation 2.EDA技术经历了计算机辅助设计CAD阶段、计算机辅助工程设计CAE阶段、现代电子系统设计自动化EDA阶段三个发展阶段 3. EDA技术的应用可概括为PCB设计、ASIC设计、CPLD/FPGA设计三个方向 4.目前比较流行的主流厂家的EDA软件有Quartus II、ISE、ModelSim、ispLEVER 5.常用的设计输入方式有原理图输入、文本输入、状态机输入 6.常用的硬件描述语言有VHDL、V erilog 7.逻辑综合后生成的网表文件为EDIF 8.布局布线主要完成将综合器生成的网表文件转换成所需的下载文件 9.时序仿真较功能仿真多考虑了器件的物理模型参数 10.常用的第三方EDA工具软件有Synplify/Synplify Pro、Leonardo Spectrum 1.8.2选择 1.EDA技术发展历程的正确描述为(A) A CAD->CAE->EDA B EDA->CAD->CAE C EDA->CAE->CAD D CAE->CAD->EDA 2.Altera的第四代EDA集成开发环境为(C) A Modelsim B MUX+Plus II C Quartus II D ISE 3.下列EDA工具中,支持状态图输入方式的是(B) A Quartus II B ISE C ispDesignEXPERT

D Syplify Pro 4.下列几种仿真中考虑了物理模型参数的仿真是(A) A时序仿真 B 功能仿真 C 行为仿真 D 逻辑仿真 5.下列描述EDA工程设计流程正确的是(C) A输入->综合->布线->下载->仿真 B布线->仿真->下载->输入->综合 C输入->综合->布线->仿真->下载 D输入->仿真->综合->布线->下载 6.下列编程语言中不属于硬件描述语言的是(D) A VHDL B V erilog C ABEL D PHP 1.8.3问答 1.结合本章学习的知识,简述什么是EDA技术?谈谈自己对EDA技术的认识? 答:EDA(Electronic Design Automation)工程是现代电子信息工程领域中一门发展迅速的新技术。 2.简要介绍EDA技术的发展历程? 答:现代EDA技术是20世纪90年代初从计算机辅助设计、辅助制造和辅助测试等工程概念发展而来的。它的成熟主要经历了计算机辅助设计(CAD,Computer Aided Design)、计算机辅助工程设计(CAED,Computer Aided Engineering Design)和电子设计自动化(EDA,Electronic System Design Automation)三个阶段。 3.什么是SOC?什么是SOPC? 答:SOC (System on Chip,片上系统) SOPC(System on a Programmable Chip,片上可编程系统) 4.对目标器件为CPLD/FPGA的VHDL设计,主要有几个步骤?每步的作用和结果分别是什

EDA技术实用教程课后习题答案

第一章 1-1 EDA 技术与ASIC 设计与FPGA 开发有什么关系? 答:利用EDA 技术进行电子系统设计得最后目标就是完成专用集成电路ASIC 得设计与实现;FPGA 与CPLD 就是实现 这一途径得主流器件。FPGA 与CPLD 通常也被称为可编程专用IC,或可编程ASIC。FPGA 与CPLD 得应用就是EDA 技术 有机融合软硬件电子设计技术、SoC(片上系统)与ASIC 设计,以及对自动设计与自动实现最典型得诠释。 1-2 与软件描述语言相比,VHDL 有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU 得机器代码,这种代码仅限于这种CPU 而不能移植,并且机器 代码不代表硬件结构,更不能改变CPU 得硬件结构,只能被动地为其特定得硬件电路结构所利用。综合器将VHDL 程序转化得目标就是底层得电路结构网表文件,这种满足VHDL 设计程序功能描述得电路结构,不依赖于任何特定硬 件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达得电路功能转化成具体得电路结构网表过程中,具 有明显得能动性与创造性,它不就是机械得一一对应式得“翻译”,而就是根据设计库、工艺库以及预先设置得各类约 束条件,选择最优得方式完成电路结构得设计。 l-3 什么就是综合?有哪些类型?综合在电子设计自动化中得地位就是什么? 什么就是综合? 答:在电子设计领域中综合得概念可以表示为:将用行为与功能层次表达得电子系统转换为低层 次得便于具体实现得模块组合装配得过程。 有哪些类型? 答:(1)从自然语言转换到VHDL 语言算法表示,即自然语言综合。(2)从算法表示转换到寄存器 传输级(RegisterTransport Level,RTL),即从行为域到结构域得综合,即行为综合。(3)从RTL 级表示转换到逻 辑门(包括触发器)得表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC 设计),或转换到FPGA 得配置网表 文件,可称为版图综合或结构综合。 综合在电子设计自动化中得地位就是什么? 答:就是核心地位(见图1-3)。综合器具有更复杂得工作环境,综合器 在接受VHDL 程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关得工艺库信息,以及获得优化综 合得诸多约束条件信息;根据工艺库与约束条件信息,将VHDL 程序转化成电路实现得相关信息。 1-4 在EDA 技术中,自顶向下得设计方法得重要意义就是什么? P7~10 答:在EDA 技术应用中,自顶向下得设计方法,就就是在整个设计流程中各设计环节逐步求精得过程。 1-5 IP 在EDA 技术得应用与发展中得意义就是什么? P11~12 答:IP 核具有规范得接口协议,良好得可移植与可测试性,为系统开发提供了可靠得保证。 第二章 2-1 叙述EDA 得FPGA/CPLD 设计流程。P13~16 答:1、设计输入(原理图/HDL 文本编辑);2、综合;3、适配;4、时序仿真与功能仿真;5、编程下载;6、硬件测试。 2-2 IP 就是什么?IP 与EDA 技术得关系就是什么? P24~26 IP 就是什么? 答:IP 就是知识产权核或知识产权模块,用于ASIC 或FPGA/CPLD 中得预先设计好得电路功能模块。 IP 与EDA 技术得关系就是什么? 答:IP 在EDA 技术开发中具有十分重要得地位;与EDA 技术得关系分有软IP、 固IP、硬IP:软IP 就是用VHDL 等硬件描述语言描述得功能块,并不涉及用什么具体电路元件实现这些功能;软IP 通常就是以硬件描述语言HDL 源文件得形式出现。固IP 就是完成了综合得功能块,具有较大得设计深度,以网表文件 得形式提交客户使用。硬IP 提供设计得最终阶段产品:掩模。 2-3 叙述ASIC 得设计方法。P18~19 答:ASIC 设计方法,按版图结构及制造方法分有半定制(Semi-custom)与全定制(Full-custom)两种实现方法。 全定制方法就是一种基于晶体管级得,手工设计版图得制造方法。 半定制法就是一种约束性设计方式,约束得目得就是简化设计,缩短设计周期,降低设计成本,提高设计正确率。 半定制法按逻辑实现得方式不同,可再分为门阵列法、标准单元法与可编程逻辑器件法。 2-4 FPGA/CPLD 在ASIC 设计中有什么用途? P16,18 答:FPGA/CPLD 在ASIC 设计中,属于可编程ASIC 得逻辑器件;使设计效率大为提高,上市得时间大为缩短。 2-5 简述在基于FPGA/CPLD 得EDA 设计流程中所涉及得EDA 工具,及其在整个流程中得作用。P19~23 答:基于FPGA/CPLD 得EDA 设计流程中所涉及得EDA 工具有:设计输入编辑器(作用:接受不同得设计输 入表达方式,如原理图输入方式、状态图输入方式、波形输入方式以及HDL 得文本输入方式。);HDL 综合器(作用: HDL 综合器根据工艺库与约束条件信息,将设计输入编辑器提供得信息转化为目标器件硬件结构细节得信息,并在 数字电路设计技术、化简优化算法以及计算机软件等复杂结体进行优化处理);仿真器(作用:行为模型得表达、 电子系统得建模、逻辑电路得验证及门级系统得测试);适配器(作用:完成目标系统在器件上得布局与布线);下 载器(作用:把设计结果信息下载到对应得实际器件,实现硬件设计)。 第三章 3-1 OLMC(输出逻辑宏单元)有何功能?说明GAL 就是怎样实现可编程组合电路与时序电路得。P34~36 OLMC 有何功能? 答:OLMC 单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器 输出、寄存器输出双向口等。 说明GAL 就是怎样实现可编程组合电路与时序电路得? 答:GAL(通用阵列逻辑器件)就是通过对其中得OLMC (输出逻辑宏单元)得编程与三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计 得。 3-2 什么就是基于乘积项得可编程逻辑结构? P33~34,40 答:GAL、CPLD 之类都就是基于乘积项得可编程结构;即包含有可编程与阵列与固定得或阵列得PAL(可编程阵

档案解密湄公河惨案相关信息.doc

档案解密湄公河惨案相关信息 湄公河惨案案发经过 2011年10月5日上午9点 中国籍船只华平号和缅甸籍船只玉兴8号在湄公河流域被两艘不明身份的武装快艇劫持。与被劫船只一起的还有华鑫6号,但由于位置靠后,未被拦截。华鑫6号船员证实,看到有7到8名武装匪徒登上两艘船后挟船离去,随后便与其失去联系。 10月5日中午12点 玉兴8号船长突然用紧急广播呼叫,船长只说了四句话我现在在吊车码头!马上叫救护车!马上报警!有人受伤了! 之后就没有了消息。事发后,通过缅甸境内的报关员证实,当天中午11点左右,在离被劫持地点5公里的地方,报关员看到两条快艇押着两条运输船通过,但没看到船上是由什么人驾驶。报关员通过无线电与运输船联系,但没有得到回应。 10月5日下午13点半 泰国军方接到毒品走私入境线索,遂加强巡逻和检查力度。警方的巡逻艇5日在清闲镇的湄公河上,发现事发船只,船上装的货物是水果,与普通货船无异,但货物旁有人在看守,样子却不像是水手。警方遂示意货船停船接受检查。两艘货船并未停下,反而加速逃跑,之后军方与船上5名武装人员交火,武装人员中一名被击毙,其余逃离。 10月5日下午14点 14点左右,玉兴8 号船舶业务员从泰国警方了解到:华平号和玉兴8号船停靠在金三角吊车码头,两艘船上已没有船员,玉兴8 号船上有一具尸体,尸体已面目全非,难于辨认,尸体旁放有枪支。玉兴8 号的驾驶室到处血迹,有多处弹孔,两艘船上共搜出八、九十万颗毒品麻黄素,两艘船上的船员去向

不明。 事后几天 2011年10月7日,在泰国清盛码头先后发现了两具遗体,经证实为华平号船长黄勇和玉兴8号船长杨德毅的儿子。遗体双手被手铐铐住,头、腹部中弹两枪死亡;另外一个的眼睛、嘴巴被胶带封住,颈部有致命刀伤,胸腹部中弹死亡。 2011年10月8日,泰国警方从湄公河打捞起9具中国船员尸体,另有2人下落不明。打捞出来的遗体双手被手铐铐住,头上缠满胶带,背上有无数的枪眼。 2011年10月10日,泰国清莱府清盛县县长色萨西讪在接受新华社电话采访时说:在湄公河遭袭的两艘货船上13名中国船员全部遇难,搜救人员当天早些时候在清莱府清孔县境内湄公河水域发现一具中国船员遗体。[6] 由云南省外事、公安、交通、民政等部门组成的工作组抵达事件发生地泰国清盛县开展工作。经工作组确认,截至11日17时,遇难中国船员总数为12名,仍有一名失踪者。 湄公河惨案发生的背景 澜沧江发源于中国青藏高原,在西双版纳中缅边境243号界碑处出境后称湄公河。澜沧江-湄公河流经中国、缅甸、老挝、泰国、柬埔寨、越南六国,是六国进行友好交往,促进经济、商贸旅游发展的黄金水道。2001年6月26日,中老缅泰四国在澜沧江-湄公河800多公里的航道上实现自由通航。 来自云南省航务管理局的数据称,目前(2010年)从事澜沧江国际航运的船舶达98艘,共473客位,年货运量达40余万吨。货运方式从件杂货运输逐步扩大到集装箱、冷藏、大件运输;开通了旅游定期航班,客运量每年近万人次。澜沧江-湄公河国际航运货物出口主要为寒温带水果、冷藏蔬菜、农副产品、大牲畜、花卉等;进口主要有热带水果、饮料、棕榈油等。从2000年到2009年,中国通过澜沧江-湄公河国际航运完成累计运输量达300万吨以上,累计进出口额和边民互市贸易超过300亿元。

EDA技术实用教程-VHDL版课后答案

第一章 1-1 EDA技术与ASIC设计和FPGA开发有什么关系? P3~4 答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL 程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12 答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 第二章 2-1 叙述EDA的FPGA/CPLD设计流程。P13~16 答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。 2-2 IP是什么?IP与EDA技术的关系是什么? P24~26 IP是什么? 答:IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。 IP与EDA技术的关系是什么?答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP 通常是以硬件描述语言HDL源文件的形式出现。固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬IP提供设计的最终阶段产品:掩模。 2-3 叙述ASIC的设计方法。P18~19 答:ASIC设计方法,按版图结构及制造方法分有半定制(Semi-custom)和全定制(Full-custom)两种实现方法。 全定制方法是一种基于晶体管级的,手工设计版图的制造方法。 半定制法是一种约束性设计方式,约束的目的是简化设计,缩短设计周期,降低设计成本,提高设计正确率。半定制法按逻辑实现的方式不同,可再分为门阵列法、标准单元法和可编程逻辑器件法。 2-4 FPGA/CPLD在ASIC设计中有什么用途? P16,18 答:FPGA/CPLD在ASIC设计中,属于可编程ASIC的逻辑器件;使设计效率大为提高,上市的时间大为缩短。 2-5 简述在基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具,及其在整个流程中的作用。 P19~23答:基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具有:设计输入编辑器(作用:接受不同的设计输

湄公河行动观后感作文电影影评

湄公河行动观后感作文电影影评 《湄公河行动》惊险的搜寻、炫丽的画面、刺激的打斗、宏伟的场面……构成了这部 电影的全部要素,也使这部电影的评价极高、票房较好。这部电影是根据震惊中外的“湄 公河大案”改编的,电影的每个细节都展示了国家捍卫国民权利和国家主权的坚强意志和 坚定决心。 看完这部精彩的大片,不禁沉思,是什么因素支持了这次重大的跨国行动?仔细想来,其中一个重要而且基础的因素就是:税收。税收已然成为保护海外民权的基础。 有人会说,是强大的国力来保障海外国民的权利。没错,强大的国力很重要,但是税 收却是把强大的国力转化为现实生产力的基础手段和基本方式。税收把国家的财富强制集 中起来,用于不同目的的分配,转化为现实的生产力。其中一个重要的部分,就是用来保 障海外国民的权利。 雄厚的税收收入,支持着祖国这个强大的母亲,让远游在外的孩子,感受到一种支撑 和安全。当遇到危险时,及时的伸出援助之手,保护远方的游子。 君不见,当很多国人在中亚地区遭受到“伊斯兰国”的践踏,生命受到严重威胁的时候,是强大的国家派出飞机迎接他们回国。没有雄厚的税收作为支撑,这是很难实现的。 厚重的税收,沉积为国家的实力,成为保护海外国民权利的有力支撑。 君不见,当几艘渔船被索马里海盗劫持而索要巨额赎金时,船上有台湾人,所谓的 “台湾”外交部经过多方交涉,也未能救回这些船员。而大陆雷厉风行的动作,很快就把 船员解救回来。充盈的国库,转化为国家的实力,成为保护海外国民的有力支撑。 君不见,很多“走出去”的中国企业,都受到了多种形式的税收优惠,成为他们“走 出去”的强大动力。这些走出去的企业,带动了经济的发展,实现了很多劳动者的就业。 合理的税收,转化为企业的动力,成为国民就业权的基本支撑。 相反,清朝末期,日俄在中国东北发生战争,清帝国的决策者们竟然作出了一个让人 匪夷所思的决定:宣布中立。我们看到这段历史,不禁对清朝统治者大骂。但细想考量, 发现这也是无奈之举,清朝的国力衰弱,税赋式微,国库空虚,无力对日俄作战。一分钱 难倒英雄汉,大抵就是如此。在自己的国土上都不能保护国民的权利,更不用谈及海外的 国民权利了。 看完《湄公河行动》,给我留下最大印象的除了彭于晏之外,不是毒品的凶残,而是 另一个问题:我们为什么要打击毒品? 天经地义?罪不容诛?正如方新武所说的那样,糯卡被抓了,以后还会有别的毒枭,打 击不干净,那么,这场牺牲了很多人的打击行动,有什么意义?

EDA技术实用教程(第四版)习题答案

《EDA技术实用教程(第四版)》习题 3 习题 3-1 画出与以下实体描述对应的原理图符号元件: ENTITY buf3s IS --实体1:三态缓冲器 PORT(input:IN STD_LOGIC; --输入端 enable:IN STD_LOGIC; --使能端 output:OUT STD_LOGIC); --输出端 END buf3s ; ENTITY mux21 IS --实体2: 2选1多路选择器 PORT(in0, in1,sel: IN STD_LOGIC; output:OUT STD_LOGIC); 3-2 图3-16所示的是4选1多路选择器,试分别用IF_THEN语句和CASE语句的表达方式写出此电路的VHDL程序,选择控制信号s1和s0的数据类型为STD_LOGIC_VECTOR;当s1=’0’,s0=’0’;s1=’0’,s0=’1’;s1=’1’,s0=’0’和s1=’1’,s0=’1’时,分别执行y<=a、y<=b、y<=c、y<=d。 图3-16 4选1多路选择器 --解1:用IF_THEN语句实现4选1多路选择器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; mux21 in0 output in1 sel buf3s input output enable

ENTITY mux41 IS PORT (a,b,c,d: IN STD_LOGIC; s0: IN STD_LOGIC; s1: IN STD_LOGIC; y: OUT STD_LOGIC); END ENTITY mux41; ARCHITECTURE if_mux41 OF mux41 IS SIGNAL s0s1 : STD_LOGIC_VECTOR(1 DOWNTO 0);--定义标准逻辑位矢量数据BEGIN s0s1<=s1&s0; --s1相并s0,即s1与s0并置操作 PROCESS(s0s1,a,b,c,d) BEGIN IF s0s1 = "00" THEN y <= a; ELSIF s0s1 = "01" THEN y <= b; ELSIF s0s1 = "10" THEN y <= c; ELSE y <= d; END IF; END PROCESS; END ARCHITECTURE if_mux41; --解2:用CASE语句实现4选1多路选择器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux41 IS PORT (a,b,c,d: IN STD_LOGIC; s0: IN STD_LOGIC; s1: IN STD_LOGIC; y: OUT STD_LOGIC); END ENTITY mux41; ARCHITECTURE case_mux41 OF mux41 IS SIGNAL s0s1 : STD_LOGIC_VECTOR(1 DOWNTO 0);--定义标准逻辑位矢量数据类型BEGIN s0s1<=s1&s0; --s1相并s0,即s1与s0并置操作 PROCESS(s0s1,a,b,c,d) BEGIN CASE s0s1 IS --类似于真值表的case语句 WHEN "00" => y <= a; WHEN "01" => y <= b; WHEN "10" => y <= c; WHEN "11" => y <= d; WHEN OTHERS =>NULL ; END CASE; END PROCESS; END ARCHITECTURE case_mux41;

湄公河惨案元凶落网细节:中国武警参与抓捕

湄公河惨案元凶落网细节:中国武警参与抓捕 昨天看晚上9点钟的老白的新闻特访了解到,金三角最大毒枭及10-5惨案制造者糯康的落网,原来中国武警也参与了抓捕,是由中老二国警方全力在老挝将其抓捕,然后老挝就把这个头号毒犯移交给中国处理! 另外,为什么糯康在老挝交接给中国时下跪,只是按照老挝当地的习惯,有罪之人是不能与普通人平起平坐,而在交接当场中老双方的官员都是坐着的,所以,他就跪在地上! 同时,为了显示对此事的重视,老挝特意把交接地点设定在老挝的首都,交接给中国特警,中国为了对等,特意把糯康押解到首都北京,然后在北京正式逮捕,然后马上再转到云南进行审判! 再说,老挝为什么把糯康移交给中国审判,第一,与中国的强大及在这件事情中的强力领导离不开的,第二就是法理基础,首先他在中国的船舶上犯罪,按照中国法律,中国有管辖权,其次,他是针对中国公民的犯罪,中国也可以主张管辖权。基于上述,老挝把这个金三角最大的毒枭武装头目移交给中国! 去年10月5日上午,“华平号”和“玉兴8号”两艘搭载13名中国船员的商船在湄公河金三角水域遭遇劫持枪击事件,致使13名船员全部遇难。事后,从两艘商船上找到95万粒

脱氧麻黄碱(又被称为“冰毒”)。 惨案发生后,专案组派出了200多人,共6个工作组,分赴老挝、缅甸和泰国,有的是从事秘密侦查,有的是与当地警方合作。在中国与缅、老、泰等国的合作下,糯康集团的二号人物伞康、三号人物依莱先后被抓获。从此前的审讯中得知,“10·5”惨案正是糯康在背后秘密策划组织的。专案组随即盯上了糯康。 破案过程中遇到的困难可想而知。刘跃进说,由于此案发生在境外,又是金三角这个特殊地区,一切调查工作全在境外进行,“跟在国内完全不是一回事”,他和专案组的人都觉得,很多事情想做,但有时有一种无力之感,无从下手。 据刘跃进介绍,曾经有几次极好的机会,专案组知道了糯康的位置,但等到抓捕时,却因为有人事先通风报信,使其逃脱。究其原因,专案组认为,是糯康的根基太深造成的。 糯康为了在当地生存发展,获得当地居民的支持,经常拿钱出来贿赂当地的基层军人、警察和“村干部”,与当地的老百姓称兄道弟。糯康集团施以小恩小惠,看哪里桥塌了、路坏了,就拿钱来修。一来二去,就得到了当地人的信任。一旦有要抓糯康的消息,都会有人给他通风报信。这也是糯康能够在当地存在多年的原因。

EDA技术与VHDL语言课程设计

EDA技术与VHDL语言课程设计 课程设计 EDA技术与VHDL语言 课程设计报告 班级: 电信11-2班 姓名: 董元伟 学号: 1106110205 指导教师: 李铁 成绩: 电子与信息工程学院 信息与通信工程系 - 2 - 课程设计;数字密码锁 目录 摘 要 ..................................................................... .......................................... - 3 - 一、设计目 的 ..................................................................... ............................ - 4 - 二、设计内容和要 求 ..................................................................... .................. - 4 - 1、用户开 锁 ..................................................................... ......................... - 5 -

2、管理员解除警 报 ..................................................................... .............. - 5 - 3、管理员修改密 码 ..................................................................... .............. - 5 - 4、定时返 回 ..................................................................... ......................... - 6 - 三、设计思路和系统结构...................................................................... ............ - 6 - 1、设计模 块 ..................................................................... ......................... - 6 - 2、系统结构和数字密码锁原理 图 .............................................................. - 7 - 3、设计思 路 ..................................................................... ......................... - 8 - 四、实验设计程序 如 ..................................................................... ................... - 9 - 五、创建测试平台仿真结 果 ..................................................................... ....... - 21 - 参考文

EDA技术实用教程第五版第13章习题答案

13-1进程有哪几种主要类型?不完全组合进程是 由什么原因引起的?有什么特点?如何避免? 解:两种: (1) begin 顺序语句 end process (2) begin wait 语句; 顺序语句 end process 两个的主要不同就在于敏感信号的不同 13-2比较CASE 语句与WITH_SELECT 语句,叙述它 们的异同点。并用WITH_SELECT_WHEN 语句描述4个16位至1个16位输出的4选1多路选择器。 答:①相同点:CASE 语句中各子句的条件不能有重叠,必须包容所有的条件;WITH_SECLECT 语句也不允许选择值有重叠现象,也不允许选择值涵盖不全的情况。另外,两者对子句各选择值的测试都具有同步性,都依赖于敏感信号的变化。 不同点:CASE 语句只能在进程中使用,至少包含一个条件语句,可以有多个赋值目标;WITH_SECLECT 语句根据满足的条件,对信号进行赋值,其赋值目标只有一个,且必须是信号。 ②LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux IS PORT( dina : IN STD_LOGIC_VECTOR(0 to 15); dinb : IN STD_LOGIC_VECTOR(0 to 15); dinc : IN STD_LOGIC_VECTOR(0 to 15); dind : IN STD_LOGIC_VECTOR(0 to 15); sel: IN STD_LOGIC_VECTOR(0 to 1); dout : OUT STD_LOGIC_VECTOR(0 to 15)); END mux; ARCHITECTURE rtl OF mux IS BEGIN with sel select dout<=dina WHEN "00", dinb WHEN "01", dinc WHEN "10", dind WHEN "11", "ZZZZZZZZZZZZZZZZ" when others; END rtl; 13-3 为什么说一条并行赋值语句可以等效为一 个进程?如果是这样的话,该语句咋么实现敏感信号的检测? 解:因为信号赋值语句的共同点是赋值目标必须都是信号,所有赋值语句与其它并行语句一样,在结构体内的执行是同时发生的,与它们的书写顺序没有关系,所以每一信号赋值语句都相当于一条缩写的进程语句。由于这条语句的所有输入信号都被隐性地列入此缩写进程的敏感信号表中,故任何信号的变化都将相关并行语句的赋值操作,这样就实现了敏感信号的检测。 13-4 在STRING,TIME ,REAL,BIT 数据类型中,VHDL 综合器支持哪些类型? 答:VHDL 支持BIT 类型和STRING 类型,其他属于用户定义的数据类型不能综合 13-5 判断下列VHDL 标识符是否合法,如果有误 则指出原因16#0FA#,10#12F#,8#789#,8#356#,2#0101010#,74HC245,\74HC574\,CLR/RESET ,\IN 4/SCLK\, D100%。 答:识符用法规定:(1)只能包含英文字母,数字,下划线(2)标识符的首字符只能是字母。故: (1)16#0FA#错在首字符是数字,且包含非法字符“#“。 10#12F#、8#789#,8#356#,2#0101010#,74HC245也是犯同一错误。 (2)\74HC574\,CLR/RESET,\IN4/SCLK\,D100%都 是非法,包含非法字符…. 13-6 数据类型BIT,INTEGER 和BOOLEAN 分别定义 在那个库中?哪些库和程序包总是可见的? 答:BIT 定义在IEEE 库中,INTEGER 和BOOLEAN 定义在STD 库中,除了STD 库和WORK 库外,IEEE 库面向ASIC 的库和用户自定义的库及其中的包集合 13-7 函数与过程的设计与功能有什么区别? 调用上有什么区别? 1.函数的定义由函数首和函数体两部分组成,在进程或结构体中不必定义函数首,而在程序包中必须定义函数首。 过程也由过程首和过程体构成,在进程或结构体中不必定义过程首,而在过程包中必须定义过程首。 2.函数是串行,过程是串行。 3区别:(1)参数表的区别。函数的参数表是用来定义输出值的,所以不必以显式表示参数的方向;过程的参数表可以对常数、变量和信号三类数据对象目标作出说明,并用关键词IN 、OUT 和INOUT 定

EDA技术与VHDL语言设计

《EDA技术与VHDL语言设计》 课程设计 题目:交通灯控制器 姓名: ***** 院系:电子信息工程系 专业:电子信息工程 班级:电信112班 学号: ****** 指导教师: ****** 2013年6 月

交通灯控制器 *** (电子信息工程学系指导教师:**) 摘要:传统的交通灯控制器多数由单片机实现,本文介绍基于EDA技术设计交通灯控制器的 一种方案。EDA技术的一个重要特征是使用硬件描述语言来完成系统的设计文件,这在电子 设计领域已得到设计者的广泛采用。给出了交通灯控制器的源程序和仿真结果,仿真结果表明 该设计方案可行。 关键词:交通灯控制器;仿真;设计 1.设计原理 1.1设计要求 设计一个十字路口交通控制器,器示意图如图1.1所示,A方向和B方向歌设红(R)、黄(Y)、 绿(G)、和左拐(L)四盏灯,四种灯按合理的顺序亮灭,并能将灯亮的时间以倒计时的形式显示出来。A方向红、绿、黄、左拐灯亮的时间分别为65s、40s、5s、和15s,B方向红、绿、黄、左拐灯亮的时 间分别为55s、30s、5s、和15s。 1.2功能要求 两个方向各种灯亮的时间能够进行设置和修改,此外假设A方向是主干路,车流大,因此在 表1.2 交通灯控制器的状态 1.3设计思路和原理 根据交通灯控制器要求实现的功能,考虑用两个并行执行的always模块来分别控制A和B两个方向的四盏灯,这两个always模块使用同一个时钟信号,以进行同步。也就是说,两个always模块的敏感信号是同一个,每个模块控制一个方向的四种灯按如下顺序点亮,并往复循环:绿灯→黄灯→左拐灯→黄灯→红灯。 每种灯亮的时间采用一个减法计数器进行计数,计数器用同步预置法设计,这样只需改变计数器的

EDA技术与VHDL基础课后习题答案(供参考)

《EDA技术与VHDL基础》 课后习题答案 第一章EDA技术概述 一、填空题 1、电子设计自动化 2、非常高速集成芯片硬件描述语言 3、CAD、CAE、EDA 4、原理图输入、状态图输入、文本输入 5、VHDL、Verilog HDL 6、硬件特性 二、选择题 1、A 2、C 3、A 4、D 5、C 6、D 7、A 第二章可编程逻辑器件基础 一、填空题 1、PLD 2、Altera公司、Xilinx公司、Lattice公司 3、基于反熔丝编程的FPGA 4、配置芯片 二、选择题 1、D 2、C 3、C 4、D 第三章VHDL程序初步——程序结构 一、填空题 1、结构、行为、功能、接口 2、库和程序包、实体、结构体、配置 3、实体名、类型表、端口表、实体说明部分

4、结构体说明语句、功能语句 5、端口的大小、实体中子元件的数目、实体的定时特性 6、设计库 7、元件、函数 8、进程PROCESS、过程PROCEDURE 9、顺序语句、并行语句 二、选择题 1、D 2、C 3、C 4、B 5、D 6、B 7、A 8、C 三、简答题 2、 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY nand_3in IS PORT(a,b,c:IN STD_LOGIC; y:OUT STD_LOGIC); END; ARCHITECTURE bhv OF nand_3in IS BEGIN y<=NOT(a AND b AND c); END bhv; 5、0000 6、(247) 第四章VHDL基础 一、填空题 1、顺序语句、并行语句 2、跳出本次循环 3、等待、信号发生变化时 4、函数、过程 5、值类属性、函数类属性、信号类属性、数据类型类属性、数据范围类属性 6、程序调试、时序仿真 7、子程序、子程序 二、选择题

湄公河惨案观后感

观“湄公河惨案”有感 澜沧江—湄公河是亚洲唯一一江连六国的跨国河流,其发源于中国青藏高原,穿越云南省西双版纳州出境缅甸后称为湄公河,流经缅、老、泰、柬、越五国后汇入太平洋,被誉为“东方多瑙河”。 2011年10月5日上午,中国籍船舶“华平号”和缅甸籍船舶“玉兴8号”在泰、老、缅三国交界的湄公河流域被截杀,事后赶到的泰国警方声称击毙了1名匪徒,其余4人逃走。警方声称在两艘船上发现毒品麻古90多万颗,并打捞到13名中国人的遗体。蒙眼、封嘴、割舌、挖眼、刀捅,几乎全部都被打断手脚,船长还被戴着手铐。 惨案发生三天后其他船员因惨案发生而被封航的关累港内休整。三天了,对于他们的亲人来说是多么漫长的等待,为什么还没有消息,为什么各大媒体上还没有关注?这件事情是5号发生的,但为什么直到这10号才被人更多的知道?按照云南省外事办新闻处的说法,其实他们在事发的当晚就已经接到了被劫持的消息,但是由于他们不能够确认,这个被劫持者是否已经全部遇难,而且事发的当地实在是一个比较复杂,而且敏感的区域,因此他们没有及时对外公布这条消息。直到7、8两日陆续发现了遇难者的遗体之后,他们才意识到这件事情的严重性,事情其实已经发展到了他们无法控制的地步了,不得不将此事公之于众罢了。 凶手是谁?幕后主使是谁?谁制造了这场惨案?是贩毒?是嫁祸?是误杀?是报复?迷雾,笼罩在湄公河上空。众多疑点,众多难点,惨案被更多人关注后人们不禁要问:这一切是为了什么? 我们分析一下客观原因:首先,经过多年努力,湄公河国际航运格局已经形成,货运方从件杂货运输逐步扩大到集装箱、冷藏、大件运输,出境货种呈现多元化趋势。很多人预计中国与东南亚国家的关系密切后,湄公河上的人员和商贸将大大增加,这条黄金水道的价值也会不断被提升。但现实的情况是,湄公河上的运输船却面对着安全越来越没有保障的局面。中国的商品占领东南亚市场已经不再令人意外,但是随着商品大量涌进东南亚的中国人并非也受到同样的欢迎,或者说没有得到正常的对待。

EDA技术与VHDL(第2版)习题解答

第3章 VHDL 基础 3-1 如图所示 input output enable buf3s mux21 in0in1output sel 3-2 程序: IF_THEN 语句 LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY mux21 S PORT ( s1,s0 : IN STD_LOGIC_VECTOR ; a,b,c,d : IN STD_LOGIC ; y : OUT STD_LOGIC ) ; END ENTITY mux21 ; ARCHITECTURE one OF mux21 IS BEGIN PROCESS ( s0,s1,a,b,c,d ) BEGIN IF s1=?0? AND s0=?0? THEN y<=a ; ELSIF s1=?0? AND s0=?1? THEN y<=b ; ELSIF s1=?1? AND s0=?0? THEN y<=c ; ELSIF s1=?1? AND s0=?1? THEN y<=d ; ELSE y<=NULL ; END IF ; END PROCESS ; END ARCHITECTURE one ; CASE 语句 LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY mux21 IS

PORT ( s1,s0 : IN STD_LOGIC_VECTOR ; a,b,c,d : IN STD_LOGIC ; y : OUT STD_LOGIC ) ; END ENTITY mux21 ; ARCHITECTURE two OF mux21 IS SIGNAL s : STD_LOGIC_VECTOR ( 1 DOWNTO 0 ) ; BEGIN s<=s1 & s0 ; PROCESS ( s ) BEGIN CASE s IS WHEN “00” => y<=a ; WHEN “01” => y<=b ; WHEN “10” => y<=c ; WHEN “11” => y<=d ; WHEN OTHERS => NULL ; END CASE ; END PROCESS ; END ARCHITECTURE two ; 3-3 程序: LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY MUXK IS PORT ( s0,s1 : IN STD_LOGIC ; a1,a2,a3 : IN STD_LOGIC ; outy : OUT STD_LOGIC ) ; END ENTITY MUXK ; ARCHITECTURE double OF MUXK IS SIGNAL tmp : STD_LOGIC ; --内部连接线 SIGNAL u1_s, u1_a, u1_b, u1_y : STD_LOGIC ; SIGNAL u2_s, u2_a, u2_b, u2_y : STD_LOGIC ; BEGIN p_MUX21A_u1 : PROCESS ( u1_s, u1_a, u1_b, u1_y ) BEGIN

相关文档
最新文档