DDS波形发生器电路原理及功能

DDS波形发生器电路组成及功能

一、DDS波形发生器电路的组成

本系统以单片机STC89C52为核心,采用了直接数字合成技术(DDS),实现了频

率任意调节的正弦波,方波,三角波以及特定的任意信号,产生的各类波形精度高,稳定性好,采用友好的人机界面,操作方便。系统总框图如下图所示:

DDS波形发生器系统框图

(1)相位累加地址发生器

使用可编程逻辑器件IC4GAL16V8编程得到累加相位码,也就是波形的地址码。这里的GAL16V8是100进制计数器,相位累加时,对应一个波形100个数据的地址。GAL16V8拥有8个输入端和8个输出端,可以单独选择每个输出端的极性,灵活的进行输出端的组合排列、可重复编程、频带范围宽,工作频率很高。使用GAL16V8做相位累加地址发生器使得电路更加简洁,保证在高频率下的稳定工作。

(2)数据存储器

使用外部ROM数据存储器IC527C64,已经预先把相关波形的量化数据写入该外部ROM中。

(3)D/A模数转换电路

使用数模转换集成芯片IC6DAC0832,DAC0832是一个8位的电流式的数模转换器,就是把波形数据转化为波形真实的模拟信号。波形信号为电流信号,因此输出端还要接运算放大器,把电流信号转换为电压信号。

(4)双极性转换电路及滤波电路

采用反相比例运算放大电路IC7TL084中的A,B运放,将D/A输出的单极性信号转换为双极性信号。

为确保产生波形的质量,减少波形失真度,使输出波形光滑,须用低通滤波器把高

频分量滤掉。在此采用自动线性跟踪Butterworth有源滤波器,在此采用二阶有源低通滤

波器,即TL084中的C运放及R19,C18,R20,C10两节低通滤波器组成。

(5)显示及控制电路

本机器需要进行人机对话,根据系统设计要求,采用独立式微动按钮S2〜7输入,八只七段LED18数码管作为输出显示,清晰可见。使用时可以选择方波、三角波和正弦波三种波形的输出;可调节不同波形的输出频率,并实现了1Hz的频率步进。

(6)单片机电路

单片机电路是DDS波形发生器的核心电路,它由微处理器IC12AT89S52及外围电路、元器件等组成。它由P0和P1共16个端口与显示电路相连接,把各种的输出波形的相关数据送到八只七段LED18数码管显示。

1〜8

还与可编程逻辑器件IC4,外部ROM数据存储器IC5相连接,提供可编程基准信号:

使用单片机定时器产生固定频率,波形稳定,为相位累加地址发生器提供基准信号。

另外由P2.0〜P2.5接键盘的6只微动按钮S2〜7,作控制输出信号之用。

微处理器IC12已经按功能要求,把相关的程序写入到芯片中。

(7)电源电路

本系统中,许多集成电路,如单片机、D/A电路、运算放大器等都需要直流电源进行供电才能工作。单片机、EEPROM等都以5V电源供电,而运算放大器需要±12V供电,因此在电源板的设计过程中,要同时获得+5V、±12V的直流电压。LM7812输出电压为+12V,LM7912输出电压为-12V,LM7805输出电压为+5V,三者的性能都能满足

设计要求。

在具体电路中,由市电AC220V经变压器降压为双AC12V后,接入到经由二极管VD36组成的单相全波整流电桥整流,由电容器C811滤波后一路电压经过三端稳压器3〜68〜11

LM7912稳压后输出+12V,另一路电压经过三端稳压器LMC7912稳压后输出-12V电压。±12V电压作为模拟放大器的电源电压,电容器久〜口是滤波电容。其中+12V电压经三

端稳压器LM7805稳压后输出+5V电压,作为AT89S51、CAL16V8、27C64、URL2803、DAC0832、74LS244等数字集成电路的电源电压。考虑到D/A转换器在进行数模转换

时,需要较稳定、精度较高的参考电压,在电源板设计过程中,将LM7812输出的+12V 电压经再分压处理,通过稳压二极管TL431稳压和精密电阻的调节来得到D/A电路所需的高精度稳压参考电源V REF。

还有外部测试信号端口J】:外部基准信号输入,为系统调试时作为相位累加地址发生器基准信号。

二、DDS波形发生器的功能描述

1.DDS波形发生器按键功能,系统操作共设有六个按键S27,分别:

2.数码管显示方式

开机时,最前面显示正弦波符号,后面4位显示0100,最末2位显示“HZ”字样,即2□0100Hz o上电开机或按复位键S]后,输出默认频率100Hz的正弦波。当输出端J2有信号输出时(不在关闭状态)所有按键都无效,或者说只有输出端J2在关闭状态时(没有输出信号),按键才能进行操作。

3.“方波”、“三角波”、“正弦波”这三种主要波形在数码管LED]显示的代码符号:

DDS波形发生器能产生“正弦波”、“三角波”、“方波”这三种主要波形,波形的频率从1Hz〜1kHz,分辨率为1Hz,波形幅度从2.56V〜5.12V,频率可以通过按键S3、S4设定得到,但是幅度只能通过电位器R21的调节加以实现。

4.操作与功能

(1)上电开机或按S1复位键后,数码显示管DS18显示为2D0100Hz,输出频率

11〜8

100Hz的正弦波。

(2)按下S5〜7其中一键,可随意改变输出信号波形,LED]代码符号改变。但此时输出端J2输出波形仍处于关闭状态。

(3)短按(V0.15s)选择键S2,从左向右第3只数码管抖动,再短按S2选择键,抖动数码管移动至下一位,每短按一次S2选择键,抖动数码管均可移动至下一位,可在千位到个位间循环。在数码管抖动的位置上,通过按功能键s4“频率+”或s3“频率-”,则可以调节频率增加或减小,单次按键实现1的加减,直到4位数字全部输入完成(数字最高只能是1000),当完成数字输入时(此时亦可按S5〜7键改变输出波形),长按选择键S2(3s)确定输出取消关闭状态,数码管不再抖动,输出端J2输出波形,此时输出端J2应有已经选择的波形和频率输出。按键S3〜7不能进行操作。

(4)如需再调整输出的波形和频率,可再长按选择键S2,使波形输出端J2处于输出关闭状态。

(5)再短按选择键S2,此时可按(3)步骤操作,重复选择波形或重置信号频率。再长按选择键S2可确定输出端J2输出。

(6)在数码管LED3置1时,数码管LED46必置0。在输出1kHz信号时要进行小

34〜6

于1kHz信号的调整,必须要使数码管LED3置0后才能调整。

注:只有在输出端J2的输出处于关闭状态时,信号的波形或信号的频率才可以按动s3〜7中一键(s2短按)进行调整。

DDS波形发生器电路原理及功能

DDS波形发生器电路组成及功能 一、DDS波形发生器电路的组成 本系统以单片机STC89C52为核心,采用了直接数字合成技术(DDS),实现了频 率任意调节的正弦波,方波,三角波以及特定的任意信号,产生的各类波形精度高,稳定性好,采用友好的人机界面,操作方便。系统总框图如下图所示: DDS波形发生器系统框图 (1)相位累加地址发生器 使用可编程逻辑器件IC4GAL16V8编程得到累加相位码,也就是波形的地址码。这里的GAL16V8是100进制计数器,相位累加时,对应一个波形100个数据的地址。GAL16V8拥有8个输入端和8个输出端,可以单独选择每个输出端的极性,灵活的进行输出端的组合排列、可重复编程、频带范围宽,工作频率很高。使用GAL16V8做相位累加地址发生器使得电路更加简洁,保证在高频率下的稳定工作。 (2)数据存储器 使用外部ROM数据存储器IC527C64,已经预先把相关波形的量化数据写入该外部ROM中。 (3)D/A模数转换电路 使用数模转换集成芯片IC6DAC0832,DAC0832是一个8位的电流式的数模转换器,就是把波形数据转化为波形真实的模拟信号。波形信号为电流信号,因此输出端还要接运算放大器,把电流信号转换为电压信号。 (4)双极性转换电路及滤波电路

采用反相比例运算放大电路IC7TL084中的A,B运放,将D/A输出的单极性信号转换为双极性信号。 为确保产生波形的质量,减少波形失真度,使输出波形光滑,须用低通滤波器把高 频分量滤掉。在此采用自动线性跟踪Butterworth有源滤波器,在此采用二阶有源低通滤 波器,即TL084中的C运放及R19,C18,R20,C10两节低通滤波器组成。 (5)显示及控制电路 本机器需要进行人机对话,根据系统设计要求,采用独立式微动按钮S2〜7输入,八只七段LED18数码管作为输出显示,清晰可见。使用时可以选择方波、三角波和正弦波三种波形的输出;可调节不同波形的输出频率,并实现了1Hz的频率步进。 (6)单片机电路 单片机电路是DDS波形发生器的核心电路,它由微处理器IC12AT89S52及外围电路、元器件等组成。它由P0和P1共16个端口与显示电路相连接,把各种的输出波形的相关数据送到八只七段LED18数码管显示。 1〜8 还与可编程逻辑器件IC4,外部ROM数据存储器IC5相连接,提供可编程基准信号: 使用单片机定时器产生固定频率,波形稳定,为相位累加地址发生器提供基准信号。 另外由P2.0〜P2.5接键盘的6只微动按钮S2〜7,作控制输出信号之用。 微处理器IC12已经按功能要求,把相关的程序写入到芯片中。 (7)电源电路 本系统中,许多集成电路,如单片机、D/A电路、运算放大器等都需要直流电源进行供电才能工作。单片机、EEPROM等都以5V电源供电,而运算放大器需要±12V供电,因此在电源板的设计过程中,要同时获得+5V、±12V的直流电压。LM7812输出电压为+12V,LM7912输出电压为-12V,LM7805输出电压为+5V,三者的性能都能满足 设计要求。 在具体电路中,由市电AC220V经变压器降压为双AC12V后,接入到经由二极管VD36组成的单相全波整流电桥整流,由电容器C811滤波后一路电压经过三端稳压器3〜68〜11 LM7912稳压后输出+12V,另一路电压经过三端稳压器LMC7912稳压后输出-12V电压。±12V电压作为模拟放大器的电源电压,电容器久〜口是滤波电容。其中+12V电压经三 端稳压器LM7805稳压后输出+5V电压,作为AT89S51、CAL16V8、27C64、URL2803、DAC0832、74LS244等数字集成电路的电源电压。考虑到D/A转换器在进行数模转换

一种DDS任意波形发生器的ROM优化方法

一种DDS任意波形发生器的ROM优化方法 李超,谢雪松,张小玲 (北京工业大学半导体可靠性研究室,北京朝阳100124) 摘要:提出了一种改进的基于直接频率合成技术(DDS)的任意波形发生器在现场可编程门阵列(FPGA)上的实现方法。首先将三角波、正弦波、方波和升、降锯齿波的波形数据写入片外存储器,当调用时再将相应的数据移入FPGA的片上RAM,取代传统的分区块的将所有类型波形数据同时存储在片上RAM中;再利用正弦波和三角波的波形在四个象限的对称性,以及锯齿波的线性特性,通过硬件反相器对波形数据和寻址地址值进行处理,实现了以四分之一的数据量还原出精度不变的模拟信号。从而将整体的存储量减小为原始设计方案的5%。经过验证,这种改进方法正确可行,能够大大减小开发成本。 关键词:直接频率合成(DDS),现场可编程门阵列(FPGA),存储空间优化 中图分类号:TN741 文献标识码:A 0 引言 随着数字通信技术的发展,基于直接频率合成技术(DDS)的信号发生器以其频率转换速度快、频率分辨率高、相位连续、波形稳定度高以及便于程控等诸多优势,得到了越来越广泛的应用[1]。与此同时,DDS技术的一个缺陷也逐渐显现出来,那就是要想获得高精度的波形,就要以大量的存储资源占用为代价[2]。对于常见的基于现场可编程门阵列(FPGA)的DDS信号发生器的设计方案,为了不影响系统的运行速度,波形数据一般都存放在FPGA的片上RAM资源中,而FPGA的片上RAM资源有限,且片上RAM 存储空间的大小与FPGA芯片的价格成正比,这就无形中增加了系统的开发成本。针对这一问题,本文提出一种改进的基于FPGA的DDS任意波形发生器的设计方案,在不改变波形精度的前提下,将波形数据量降低为传统设计方案的25%,并且能够输出正弦波、三角波、占空比可变的方波和升、降锯齿波六种波形。 1.DDS基本原理 DDS技术的核心是利用累加器,将频率控制字、相位控制字和波形控制字转化成读取波形数据的地址值,再将读出的波形数据与幅度控制字相乘得到不同的波形,其结构框图如图1所示[3]。 图1 传统DDS任意波形发生器结构示意图Fig.1 Schematic of Traditional DDS Arbitrary Waveform Generator 输出波形的频率由频率控制字与输入时钟共同决定,其关系可由式1表示: (1)

DDS波形发生器设计实验报告1

DDS 信号发生器设计 一、设计要求 利用FPGA+DAC,设计一个DDS 信号发生器。 1) 分辨率优于1Hz ; 2) ROM 表长度8位、位宽10位; 3) 输出频率优于100kHz (每周期大于50个点); 4) 显示信号频率/频率控制字(可切换); 5) 直接输入频率控制字或输出频率。 二、DDS 设计原理 相位累加器 DDS 基本结构框图 DDS 系统的核心是相位累加器,它由一个加法器和一个相位寄存器组成;每来一个时钟,相位寄存器以步长增加,相位寄存器的输出与频率控制字(M )相加,然后输入到正弦查询表地址上。 正弦查询表包含一个周期正弦波的数字幅度信息,每个地址对应正弦波中0 0~360范围的一个相位点。查询表把输入的地址相位信息映射成正弦波幅度的数字量信号,驱动DAC ,输出模拟量。 三、DDS 参数计算 相位寄存器每经过N 2/M 个C f 时钟后回到初始状态,相应地正弦查询表经过一个循环回到初始位置,整个DDS 系统输出一个正弦波。 1)输出正弦波周期 02N C T T M = (1) 2)输出正弦波频率 2c out N f f M =? (2) 3)M 与输出out f 和C f 之间的关系 (2)N out c M f f =? 021 N M <≤- (3)

4)DDS 的最小分辨率 通常用频率增量来表示频率合成器的分辨率 2c out N f f M =? m i n m i n 2 c N f f f ?== (4) 根据以上公式,给定输入时钟频率10MHz ,相位累加器位宽24位时,计算得到输入频率控 制字位宽为20位时可满足设计要求,最小分辨率为0.6Hz 。 四、VHDL 程序 变量说明:clk ,输入时钟,10MHz ; count ,控制输入频率控制字; rset ,系统复位; en ,频率控制字输入; sselect ,频率控制字/频率切换显示; ctwo ,数码管双行显示; 顶层设计实体 图一 DDS 信号发生器顶层设计原理图 控制频率控制字外部输入VHDL library ieee; use ieee.std_logic_1164.all; entity M_creat is port(count,rset,en:in std_logic; M_out:out std_logic_vector(19 downto 0); M_F:out std_logic_vector(7 downto 0)); end entity M_creat; architecture behv of M_creat is component counter5 is port(count:in std_logic; dout:out std_logic_vector(4 downto 0)); end component; component counter4 is

DDS任意波形发生器

基于DDS技术的任意波形发生器研究与设计 1 DDS概述 1.1 DDS基本原理 直接数字合成技术(Direet Digital Synthesis,简称DDS)是建立在采样定理基础上,首先对需要产生的波形进行采样,将采样值数字化后存入存储器作为查找表,然后通过查表读取数据,再经D/A转换器转换为模拟量,将保存的波形重新合成出来。DDS基本原理框图如图1所示。 由图l看出,除了滤波器(LPF)之外,DDS系统都是以数字集成电路实现,因此DDS 系统易于集成和小型化。DDS系统的参考时钟源通常是一个具有高稳定性的晶体振荡器,整个系统的各个组成部分提供同步时钟。频率字(FSW)实际上是相位增量值(二进制编码),作为相位累加器的累加值。相位累加器在每一个参考时钟脉冲输入时,累加一次频率字,其输出相应增加一个步长的相位增量。由于相位累加器的输出连接在波形存储器(ROM)的地址线上,因此其输出的改变就相当于查表。这样就可把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出。ROM的输出送到D/A转换器,经D/A转换器转换成模拟量输出。 1.2 DDS的基本参数及其计算

在系统时钟脉冲的作用下,相位累加器不停累加,即不停查表,把波形数据送到D/A 转换器转换成模拟量输出,从而合成波形。滤波器则进一步平滑D/A转换器输出的近似正弦波的锯齿阶梯波,同时衰减不必要的杂散信号。设频率字(FSW)的值为d,系统时钟频率为f,相位累加器的字长为N,则系统的输出频率为: 2 任意波形发生器的设计方案 基于DDS技术的任意波形发生器主要由微处理器控制模块、键盘与显示模块、DDS通道的FPGA实现模块、D/A转换模块以及滤波器模块组成。同时片外扩展了4 KB程序存储器SRAM和6 KB数据存储器ROM,分别用于存储波形抽样数据和3种标准输出波形抽样数据。本系统设计原理如图2所示。 2.1 微处理器控制模块

基于单片机的DDS函数信号发生器

本科毕业论文设计 基于单片机的DDS函数信号发生器 题目: 专业名称: 学生姓名: 学生学号: 指导老师: 毕业时间:

【内容摘要】信号发生器常被用来当作信号发生源,它可以产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波,并且各波形的幅度和频率可调,正是因为信号发生器可以产生各种波形的信号,因此在在电路实验和设备检测生产实践和科技领域中都有着广泛的应用。 本系统主要包括四个部分,电源供电,单片机最小系统,DDS,显示。本系统主要用89C52 单片机与DDS器AD9833构成的函数信号发生器,可产生方波、三角波、正弦波,可以由程序控制改波形的周期,并可以通过按钮实现不同波形切换。DDS输出信号的幅值为0-2.5V,频率步进1KHz可调,实际信号频率通过4位数码管显示。对于输出信号幅值的控制,主要是用OP07放大器对其信号放大来实现的。通过OP07放大器可以把DDS输出信号的幅值放大2倍,从而达到了输出波形幅值在0-5V变化。 【关键词】:AD9833;89C52;DDS;信号发生器

ABSTRACT Placing signage at signal generator is often used as a signal, it can produce various waveform, such as triangle wave, sawtooth wave, rectangle wave (including square wave), sine wave, and the wave amplitude and frequency adjustable, it is because the signal generator can produce various waveform signal, therefore in circuit experiment and test equipment in the field of production practice and science and technology has a wide range of applications. System mainly includes four parts, power supply, single chip microcomputer minimum system, DDS conversion, display. This system mainly USES the 89 c51 and constitute of the DDS converter AD9833 function signal generator, can produce square wave, triangle wave, sine wave, can be controlled by the program to change the cycle of the waveform, and can implement different waveform by pressing the button switch. Output signal amplitude of 0-2.5 V, step 1 KHZ frequency is adjustable, the actual signal frequency through the four digital tube display.For control output signal amplitude, main is to use OP07 amplifier for signal amplification. Through the OP07 amplifier can magnify DDS output signal amplitude 2 times, so as to achieve the output waveform amplitude changes in 0 to 5 v. Keywords: AD9833;89C52;DDS converter;signal generator

实验二 DDS波形发生器设计实验报告

实验二 DDS波形发生器设计实验报告 一.实验任务及要求 任务:利用FPGA+DAC,设计一个DDS信号发生器。 要求:(1)分辨率优于1Hz (2)ROM表长度8位、位宽10位 (3)输出频率优于100kHz(每周期大于50个点) (4)显示信号频率/频率控制字 二.实验设计方案 用CPLD,FPGA实现DDS波形发生器设计 ⑴用QuartusII的原理图输入来完成系统的顶层设计。 ⑵相位累加器调用用HDL编写。 ⑶波形存储器通过调用lpm_rom元件实现,其LPM_FILE的值*.mif是一个存放波形幅值的文件。 ⑷频率-频率控制字之间的转换,可用乘、除法模块(LPM)设计以及用VHDL语言编写。 其具体实现方案如下原理图

输出频率:(1) 通过时钟频率, 改变输出波形的频率 (2) 改变寻址的步长来改变输出信号的频率—DDS 设:相位累加器为N位, Sin表的大小为2P,累加器的高P位用于寻址Sin表.时钟频率为f C,若累加器按步进为M地累加直至溢出,称M为频率控制字。 三.系统硬件设计 1)DDS参数计算 ①相位寄存器每经过2N/M个时钟fc后回到初始状态,相应地正弦查询表经过一个循环回到初始位置,整个DDS系统输出一个正弦波。 则输出正弦波周期 To=Tc*2n/M 即输出正弦波频率 f out=M×fc/2n

②要求分辨率优于1Hz 则fc/2n<1 ③输出频率优于100kHz(每周期大于50个点) 则f out=M×fc/2n >100KH 2n/M>50 由②、③可得输入频率fc>5MHZ,在实验中我们设脉冲频率为10MHZ。2)在实验中采用24位相位累加器(即n=24),用VHDL创建功能模块。 原理:通过频率控制字控制相位累加,直至溢出,取其高8位作为查询sin表的地址,从而控制输出的波形。 3)频率控制字M的设置,用VHDL创建功能模块aa 设置a1,a2,a3三个输入端,其不同的输入组合对应控制频率控制字M的各个位,确定控制位后,通过输入单脉冲控制其大小,直到输入所需控制字,同时设置了复位端rst1。 4)LPM_ROM模块功能及原理 通过公式1023/2*[sin(2*3.14*A/256)+1]制作sin表,设置长度8位、位宽10位。波形存储器通过调用lpm_rom元件实现,其LPM_FILE 的值*.mif是制作的sin表文件。 5)输出频率-频率控制字之间的转换, 用VHDL创建功能模块chang。 通过设置使能端en,控制输出频率与频率控制字之间的显示转换。6)输出频率显示设置,采用乘、除法模块(LPM)设计以及用VHDL 语言编写。 其中通过编写VHDL,设置其输出单位,创建功能模块ij和xz。当LED1灯亮时则输出单位为KHZ,否则为HZ。并且通过乘除法的转换输出

信号发生器原理

信号发生器原理 信号发生器是一种能够产生各种类型信号的仪器,它在电子测 试和测量领域有着广泛的应用。信号发生器可以产生不同频率、幅 度和波形的信号,用于测试和校准各种电子设备,如放大器、滤波器、示波器等。本文将介绍信号发生器的原理及其工作方式。 信号发生器的原理主要包括振荡器、频率控制、幅度控制和波 形控制。首先,振荡器是信号发生器的核心部件,它能够产生稳定 的交流信号。振荡器通常采用LC振荡器、RC振荡器或晶体振荡器,通过振荡电路产生稳定的频率信号。其次,频率控制是信号发生器 的重要功能之一,它能够控制振荡器产生的信号频率,通常通过电 压控制振荡器(VCO)或数字控制振荡器(DDS)实现。再次,幅度 控制是调节信号发生器输出信号的幅度,通常通过放大器或可变衰 减器来实现。最后,波形控制是指信号发生器可以产生不同的波形,如正弦波、方波、三角波和锯齿波等,通过不同的波形控制电路来 实现。 信号发生器的工作方式通常是通过用户界面或控制面板来设置 所需的频率、幅度和波形参数,然后信号发生器根据用户设置的参 数来产生相应的信号。在实际应用中,信号发生器可以通过外部触

发或自动触发来产生连续或单次的信号输出,以满足不同的测试需求。 在电子测试和测量领域,信号发生器具有非常重要的作用。它可以用于测试各种电子设备的频率响应、失真、幅度稳定性等性能指标,也可以用于校准各种仪器设备的输出信号。此外,信号发生器还可以用于产生调制信号、调频信号和调相信号,用于无线通信系统的测试和仿真。 总的来说,信号发生器是一种功能强大、应用广泛的电子测试仪器,它的原理是基于振荡器、频率控制、幅度控制和波形控制等技术实现的。通过合理的设计和精密的制造,信号发生器能够产生稳定、精确的信号,为电子测试和测量提供了重要的工具和手段。

基于单片机制作高频DDS信号发生器

基于单片机制作高频DDS信号发生器 制作基于单片机的高频DDS信号发生器 在电子工程和科学实验中,高频信号发生器是一种重要的设备,被广泛应用于测试、测量和各种应用中。其中,直接数字合成(DDS)技术因其具有高分辨率、快速转换速度和连续相位等特点,已成为现代信号发生器的主要技术之一。本文将介绍如何使用单片机制作高频DDS信号发生器。 一、DDS技术原理 DDS技术是通过相位累加器对一个参考频率进行相位增量累加,并将累加结果映射到ROM表中,从而生成各种频率和波形的信号。相位累加器的位数决定了DDS的分辨率,而ROM表中的数据则决定了生成的波形。通过改变ROM表中的数据,我们可以生成各种形状的波形,如正弦波、方波、三角波等。 二、基于单片机的DDS信号发生器设计 1、硬件设计 在硬件方面,我们选择具有高速、高性能和低成本的微控制器作为DDS信号发生器的核心。微控制器通过连接一个波形ROM表和一个DAC (数字模拟转换器),将ROM表中的数据转换为实际信号。此外,还需要一个参考频率源为微控制器提供参考频率。

2、软件设计 在软件方面,我们需要编写一个程序来控制微控制器实现DDS技术。程序主要包括以下几个部分:相位累加器、波形ROM表和DAC控制。 (1) 相位累加器:相位累加器通过对参考频率进行计数,并将计数值映射到波形ROM表中。累加器的位数决定了DDS的分辨率。 (2) 波形ROM表:波形ROM表存储了生成信号的幅度信息。在每个参考频率的周期内,微控制器根据相位累加器的值从ROM表中读取相应的幅度值。 (3) DAC控制:DAC将ROM表中读取的幅度值转换为模拟信号。微控制器通过DAC控制电路来控制DAC的转换速度和输出信号的幅度。3、高频实现 为了实现高频DDS信号发生器,我们需要选择具有高速性能的微控制器和DAC。此外,还需要优化硬件电路和软件算法,以提高系统的运行速度和精度。例如,我们可以使用高速的RAM作为波形ROM表,以减少读取时间;同时,我们还可以使用并行计算技术来提高算法的效率。 三、实验结果 下面是我们使用基于单片机的DDS信号发生器生成的正弦波和方波

一种基于DDS和Qt的“所见即所得”波形发生器

一种基于DDS和Qt的“所见即所得”波形发生器 0 引言随着电路设计的多样化,电路测试也对信号源提出了更高的要求。 传统的信号源只能产生几种固定的波形,灵活度较差。任意信号发生器(AWG) 很好地满足了这个要求,它不仅可以输出标准信号,而且可以方便地产生任意 波形,在测控、通信,医疗等领域有着广泛的应用。任意信号发生器通常采用 直接数字频率合成(direct digital frequency synthesizer,DDS)技术实现。DDS 技术是J.Tiemev 在1971 年首次提出的一种以全数字技术,它从相位概念出发 直接合成所需波形的一种新的合成原理。Qt 是一个跨平台的C++图形用户界面 应用程序框架。它提供给应用程序开发者建立图形用户界面所需的所用功能。 Qt 是完全面向对象的,模块化程度非常高,很容易扩展,并且允许真正地组件 编程。Qt 具有优良的跨平台特性,支持多种系统和平台,包括Windows 系统,所有的UNIX 系统以及嵌入式系统等。Qt 遵循GPL(general public lice-nse)协议,开放主要的源代码,用户可以在GPL 的规定下自由添加新特性。1 DDS 模块的 设计1.1 DDS 在FPGA 中的实现DDS 由相位累加器,波形存储器,D/A 转换器,低通滤波器等部分组成。该系统的DDS 模块根据需要做了适当的修改。 其结构框图如图1 所示。 (1)增加了通信接口电路和DD8 控制模块用于接收用户数据和控制DDS 的运 行状态。(2)基准时钟采用5 MHz,经过可控分频器分频后作为DDS 的时钟信号,周期分辨率最高可达200 ns(即分频为1)。(3)相位累加器的频率控制字固 定为1,相位控制字固定为0。(4)波形存储器采用RAM,可以写入用户自定 义的波形数据以产生任意波形,其地址宽度设置为11 b,这样存储深度可达 2K 点。(5)电平偏移电路用于消除D/A 输出信号中叠加的无效直流分量,对

DDS任意波形发生器的设计与实现

DDS任意波形发生器的设计与实现 近年来,随着电子技术的飞速进步,任意波形发生器在信号发生、测试、测量等领域扮演着重要的角色。而Direct Digital Synthesis(DDS)任意波形发生器作为一种数字信号处理技术,由于其高精度、低失真、灵活性强等优点,成为了目前最为常用的任意波形发生器技术之一。 DDS任意波形发生器工作原理基于数字信号处理与相位累 加器。其主要组成部分包括振荡器、相位累加器、数字控制模块和DAC(数模转换器)模块。其中,相位累加器用于产生一 个累加的相位值,该相位值会被数字控制模块处理后再输入DAC模块进行数模转换,并输出到外部电路。而该外部电路毗 连到输出端口,可以控制输出的幅值以及频率,从而生成所需的任意波形。 在过程中,需要思量多个关键因素。起首,选择合适的振荡器型号以及参考时钟。振荡器的质量和稳定性直接影响到输出信号的频率稳定性。而参考时钟的准确性则决定了相位累加器的性能。其次,在相位累加器的设计中,需要合理选择累加的相位步进值以及相位累加位数。过大的步进值可能导致相位区分率降低,而过小的步进值会增加累加器的位数,增加系统的复杂度。另外,数字控制模块的设计需要思量到输入的频率、相位和幅度的变化。最后,需要合理选择DAC模块以及输出电路,以确保输出信号的质量和稳定性。 在实际实现过程中,可以使用FPGA(Field-Programmable Gate Array)作为主要硬件实现平台,并利用VHDL(VHSIC Hardware Description Language)进行硬件描

述,从而构建DDS任意波形发生器。FPGA的高度灵活性使得 其适用于DDS任意波形发生器的实现,并且其可重构的特点使得系统可以依据需要进行扩展和改进。在软件方面,可以使用 C语言编写相应的控制程序,以实现对DDS任意波形发生器的 控制和调整。 是一个综合性的工程项目,需要对电路设计、硬件描述语言、数字信号处理等方面有深度的了解和精通。同时,需要重视对系统整体性能的思量,通过合理的参数选择和适当的技术手段,确保最终的任意波形发生器能够满足实际应用需求。在设计过程中,还需要进行充分的测试和验证,以保证系统的正确性和稳定性。 综上所述,需要综合应用电子技术、数字信号处理、硬件描述语言等多个领域的知识。通过合理的方案设计和工程实践,可以得到高精度、低失真、灵活性强的任意波形发生器,为信号处理、测试、测量等领域提供强有力的支持。在将来,随着科技的不息进步,将继续迎接新的挑战,并不息创新与进步 综合应用电子技术、数字信号处理和硬件描述语言等多个领域的知识,是一个综合性的工程项目。通过使用FPGA和VHDL进行硬件描述,结合C语言编写控制程序,可以实现对DDS任意波形发生器的灵活控制和调整。在设计过程中,需要 充分思量系统整体性能,进行合理的参数选择和技术手段应用,以满足实际应用需求。测试和验证也是不行轻忽的步骤,以确保系统的正确性和稳定性。通过合理的方案设计和工程实践,可以得到高精度、低失真、灵活性强的任意波形发生器,为信号处理、测试和测量等领域提供强有力的支持。随着科技的不息进步,将继续面临新的挑战,并不息创新和进步

DDS波形发生器

引言 在工程应用和测试领域中,信号发生器有着非常广泛的应用,它可以用来为各种电路提供测试信号。众所周知,传统的信号发生器用模拟电路或者专用芯片搭建而成,但是存在着较多问题,如频率不高、稳定性较差、不易扩展和调试等;而如果采用DDS直接数字频率合成技术设计的信号发生器的话,则改变了这种状况,可以大大提高其精度和灵活性。本文通过Altera公司的EPFl0K10LC84-4芯片,成功实现了正弦波信号发生器。 1 系统硬件结构 本系统由LED显示、键盘电路、FPGA单元、低通滤波器和D/A 转化构成。系统总体设计结构见图1。 1.1 LED显示 在本系统中,通过4个LED数码管进行动态显示。电路设计如图2所示。LED数码管动态显示的实现方法为依次点亮各个数码管,循环进行显示,利用人眼的视觉暂留特性,数码管每秒导通16次以上,可以达到4个数码管同时显示的效果。但是,延时也不是越小越好,因为数码管达到一定亮度需要一定时间。如果延时控制不好则会

出现闪动,或者亮度不够。根据经验,延时0.005秒可以达到满意效果。 1.2 键盘电路 在本系统中,通过键盘电路改变频率控制字K,从而实现对正弦信号的频率、相位的初始化设置。为了节省FPGA的I/O端口,简化硬件线路,键盘电路采用4×4矩阵式健盘。键盘共设有14个键,其中包括4个功能健。具体键盘按键设置如表1。

使用时先通过“0~9”、“↑”、“↑”12个设置按键输入所需信号频率,再按下ENteR执行健,频率控制字K将刷新,使得输出信号频率发生变化。CLR键用于将原有的设定值清零。 1.3 FPGA单元 FPGA单元包括键盘转换频率控制字、LED显示控制、相位累加器、ROM查询表的实现。键盘电路是一组按键开关的集合,FPGA 扫描键盘电路的电平信号,通过FPGA中指定程序转化为频率控制字K输入到相位累加器,同时,将设置输出的频率通过4个LED数码管实时显示。 1.4 低通滤波电路 低通滤波器用于滤除阶梯信号中的谐波分量。本文采用2阶低通滤波电路,阶梯信号通过低通滤波,使得输出信号频谱纯度较好,失真较小。 1.5 D/A转化 从FPGA输出的正弦波信号还只是阶梯信号,需要经过D/A转化器进行数字/模拟信号的转化。本设计使用的是8位D/A转化芯片DAC083 2。该芯片用倒T形电阻网络转换,为电流输出型。DAC0832中有两级锁存器,第一级即输入寄存器,第二级即DAC寄存器,数据输入有直通数字输入、双缓冲输入或单缓冲输入三种工作方式。 D/A接口电路如图3所示。

DDS原理及仿真

电子科技大学 数字信号处理课程设计 设计题目: DDS原理及仿真 *名:** 2014 年 11 月日

一、实验目的 根据DDS 原理,编制一个Matlab 程序,能合成一个固定频率的正弦波,并且研究位宽对输出波形准确度的影响。 二、DDS 简介 DDS 同 DSP (数字信号处理)一样,是一项关键的数字化技术。DDS 是直接数字式频率合成器的英文缩写。与传统的频率合成器相比,DDS 具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。 DDS 芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加器根据频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS 芯片输出的一般是数字化的正弦波,因此还需经过高速D/A 转换器和低通滤波器才能得到一个可用的模拟频率信号。 另外,有些DDS 芯片还具有调幅、调频和调相等调制功能及片内D/A 变换器(如AD7008)。 在各行各业的测试应用中,信号源扮演着极为重要的作用。但信号源具有许多不同的类型,不同类型的信号源在功能和特性上各不相同,分别适用于许多不同的应用。波形发生器,函数发生器,RF 信号源,以及基本的模拟输出模块。信号源中采用DDS 技术在当前的测试测量行业已经逐渐称为一种主流的做法。 三、DDS 基本原理 一般来说,对于正弦信号发生器,我们可用以下公式来描述其输出信号。 () t f A S out out π2sin = (1) 上式中,out f 表示输出信号对应的频率。 式 (1) 的表述对于时间t 是连续的,为了能够用数字逻辑实现该表达式,必须

dds信号发生器原理

dds信号发生器原理 DDS信号发生器原理 DDS信号发生器,即直接数字频率合成技术(Direct Digital Synthesis),是一种用于产生高精度、高稳定度的周期性信号的设备。它广泛应用于电子测试、通信、测量以及科学研究等领域。本文将从原理的角度介绍DDS信号发生器的工作原理及其优势。 一、原理概述 DDS信号发生器的原理基于数字频率合成技术,它通过数字方式产生信号,相比于传统的模拟方式,在频率和相位的精度、调制能力等方面具有更大的优势。其基本原理如下: 1. 频率控制器:DDS信号发生器内部有一个频率控制器,它用于确定输出信号的频率。频率控制器通常由一个晶振或参考信号源提供参考频率,然后经过频率分频器、多路选择器等模块,最终生成所需的输出频率。 2. 数字信号发生器:DDS信号发生器内部还有一个数字信号发生器,它用于产生数字信号。数字信号发生器通常由一个相位累加器和一个查表器组成。相位累加器负责累加相位,查表器根据累加器的值查找对应的幅值,从而实现信号的产生。 3. 数模转换器:DDS信号发生器的输出通常是一个数字信号,为了将其转换为模拟信号,需要通过一个数模转换器。数模转换器将数字信号转换为模拟信号,然后经过滤波器等模块进行进一步处理,

最终得到所需的模拟输出信号。 二、工作原理 DDS信号发生器的工作原理可以分为以下几个步骤: 1. 设置频率:用户通过界面或命令设置所需的输出频率,这个频率会被输入到频率控制器中。 2. 相位累加:频率控制器接收到用户设置的频率后,将其转换为相位累加的速度。相位累加器开始从初始相位开始逐渐累加,累加的速度由频率控制器控制。 3. 查表输出:相位累加器的输出值会作为查表器的输入,查表器根据输入值在查表表格中查找对应的幅值,并输出。 4. 数模转换:查表器的输出是一个数字信号,为了得到模拟输出信号,需要通过数模转换器进行转换。数模转换器将数字信号转换为模拟信号,并经过滤波器等模块进行进一步处理。 5. 输出信号:经过转换和处理后,最终得到所需的模拟输出信号。该信号的频率、相位和幅度均由用户设置的参数决定。 三、优势 DDS信号发生器相比于传统的模拟信号发生器具有如下优势: 1. 高精度:DDS信号发生器通过数字方式产生信号,具有更高的精度。相位累加器的分辨率可以达到很高,从而可以实现更精确的相位和频率控制。 2. 高稳定度:由于DDS信号发生器的输出信号是通过数字计算得到

DDS系统结构原理——信号发生器(脉冲发生器)基本系统

1.DDS技术发展简介 对于普通信号发生器,有两种方式来实现信号产生,分别是模拟电路方式和数字电路方式。在上个世纪80年代以前,信号产生全部都使用模拟方式来实现,即通过电阻电容电感等器件来组成振荡电路,产生需求函数波形[13]。而在80年代之后,数字电路的方式开始被用于信号产生,自此频率合成技术开始发展[14]。频率合成技术指将一个或多个稳定性和精确性很高的基准频率,通过数字混合运算后,产生具有同样的稳定度和精确度的大量离散频率的技术,这是一种产生高质量频率的重要方法,按照其发展可以将它总的分为三个类型[15]。 (1)直接频率合成技术(DAFS)。它是最早的频率合成技术,其将基准信号通过谐波发生器来产生一系列谐波脉冲,然后通过分频、倍频、混频和带通滤波器等处理来产生大量我们需要的离散频率[16]。这种技术可以通过相关合成和非相关合成两种方法来实现。这两种方法主要区别在它们所使用的参考频率源的数量上。第一种非相关的合成方法使用多个参考频率源作为输入,这种方法较为复杂且困难,并且成本较高。相关合成方法只用一个参考频率源,所有需要用到的频率都是由这一个频率源通过分频倍频等方式产生,是使用较为广泛的一种方法[17]。不过DAFS技术有杂波干扰较多,设备需求较大等问题,所以逐渐被后续发展的另外两种技术所取代。 (2)锁相环式频率合成技术(PLL)。它又称间接频率合成技术,是第二代频率合成技术[18]。它是应用模拟或者数字的锁相环来间接实现频率合成。最早PLL技术使用模拟锁相环实现,之后发展出了数字锁相环技术,而现在最为常用的是数模混合的锁相环,这种锁相环由数字鉴相器、数字分频器和模拟环路滤波器、压控振荡器组成。PLL是一种相位误差控制系统,从鉴相器输入的信号频率与压控振荡器的输出频率间存在相位差,这个相位差会产生误差控制电压,可以调整压控振荡器的频率,从而使其与鉴相器同频[19]。相比较与直接频率合成技术,PLL技术输出信号频率范围较宽,产生噪声较小,电路结构简单,所以有较广泛的应用。 (3)直接数字频率合成技术(DDS)。这是20世纪80年代左右被提出的频率合成技术的又一次步大的进展。它由相位累加器、ROM查找表、高速DAC和低通滤波器组成,其将波形的二进制数据存储在波形ROM中,工作时按照一定

DDS信号发生器原理

相位累加器(N比特)正弦查询表 (ROM) 数模转换 (DAC) 低通滤波器 (LPF) 时钟 (f c ) 频率 (f 0) 控制字(M)输出 2 基本原理 2.1 直接数字频率合成器 直接数字合成(Direct Digital Synthesis,简称DDS)技术是从相位概念出发,直接对参考正弦信号进行抽样,得到不同的相位,通过数字计算技术产生对应的电压幅度,最后滤波平滑输出所需频率。 2.1.1 DDS工作原理 下面,通过从相位出发的正弦函数产生描述DDS的概念。 图1表示了半径R为1的单位圆,半径R绕圆心旋转与X轴的正方向形成夹角θ(t),即相位角。 图1 单位圆表示正弦函数S= R sinθ(t) DDS的原理框图如图2所示。图中相位累加器可在每一个时钟周期来临时将频率控制字(FTW)所决定的相位增量M累加一次,如果记数大于2N,则自动溢出,而只保留后面的N位数字于累加器中[9]。 图2 DDS原理框图

DDS的数学模型可归结为:在每一个时钟周期T c 内,频率控制字M与N比特相位累加器累加一次,并同时对2N取模运算,得到的和(以N位二进制数表示)作为相位值,以二进制代码的形式去查询正弦函数表ROM,将相位信息转变成相应的数字量化正弦幅度值,ROM输出的数字正弦波序列再经数模转换器转变为阶梯模拟信号,最后通过低通滤波器平滑后得到一个纯净的正弦模拟信号。 由于ROM表的规模有限,相位累加器一般仅取高位作为寻址地址送入正弦查询表获得波形幅度值。正弦查询表中以二进制数形式存入用系统时钟对正弦信号进行采样所得的样值点,可见只需改变查询表内容就可实现不同的波形输出。 2.1.2 DDS的结构 DDS的基本结构包括相位累加器、正弦查询表(ROM)、数模转换器(DAC)和低通滤波器(LPF),其中从频率控制字到波形查询表实现由数字频率值输入生成相应频率的数字波形,其工作过程为: ⑴确定频率控制字M; ⑵在时钟脉冲f c 的控制下,该频率控制字累加至相位累加器生成实时数字相位值; ⑶将相位值寻址ROM转换成正弦表中相应的数字幅码。 模块DAC实现将数字幅度值高速且线性地转变为模拟幅度值,DDS产生的混叠干扰由DAC之后的低通滤波器滤除]7[。 ㈠相位累加器 相位累加器是DDS最基本的组成部分,用于实现相位的累加并存储其累加结果。 若当前相位累加器的值为Σ n ,经过一个时钟周期后变为Σ 1+ n ,则满足 Σ 1+ n =Σ n +M Σ n 为一等差数列,不难得出:Σ n =nM+Σ 其中Σ 为相位累加器的初始相位值。 ㈡正弦查询表(ROM) DDS查询表所存储的数据是每一个相位所对应的二进制数字正弦幅值,在每一个时钟周期内,相位累加器输出序列的高m位对其进行寻址,最后的输出为该相位相对应的二进制正弦幅值序列。 ㈢数模转换器(DAC) 数模转换器的作用是将数字形式的波形幅值转换成所要求合成频率的模拟形式

基于单片机制作高频DDS信号发生器

基于单片机制作高频DDS信号发生器 在现代科学和电子技术的不断进步下,数字信号发生器(DDS)已经成为了频率控制和生成的重要工具。尤其是高频DDS信号发生器,其在雷达、通信、电子对抗等领域的应用具有不可替代的地位。本文将介绍如何使用单片机制作高频DDS信号发生器。 一、DDS技术概述 DDS,全称Direct Digital Synthesizer,即直接数字合成器,其工作原理是将数字信号通过数模转换器(DAC)转换成模拟信号。DDS 技术的核心是相位累加器,它将输入的数字信号的相位进行累加,从而生成新的频率信号。 二、硬件设计 1、单片机选择:本设计选用具有高速、低功耗、高集成度的单片机,如STM32F4系列。 2、频率控制字:通过设置频率控制字(FCW),可以控制输出信号的频率。频率控制字由一个16位二进制数组成,表示了相位累加的步进大小。

3、存储器:使用Flash存储器存储预设的频率波形数据。 4、DAC:数模转换器将存储器中的波形数据转换成模拟信号。本设计选用具有高分辨率、低噪声、低失真的DAC芯片。 5、滤波器:使用LC滤波器对DAC转换后的信号进行滤波,以得到更加纯净的信号。 三、软件设计 1、相位累加器:相位累加器是DDS的核心,它将输入的数字信号的相位进行累加,从而生成新的频率信号。 2、波形查找表:将所需的波形数据存储在波形查找表中,通过查表的方式获取波形数据,可以大大提高DDS的工作效率。 3、控制逻辑:控制逻辑负责处理输入的控制信号,如启动、停止、频率控制字等。 4、通信接口:为了方便远程控制,需要设计通信接口,如SPI、I2C 等。 四、性能测试

简易DDS波形发生器设计

实验二简易DDS波形发生器设计 084775116 马丽丽 084775117 潘奕颖一、实验目的:进一步熟悉绘制ASM图分析实验的方法; 进一步掌握将VHDL程序符号化的使用方法; 熟悉ROM表的制作与符号化; 了解DDS波形发生器的基本原理。 二、实验内容:利用FPGA和DAC,设计一个简易的DDS波形发 生器并发出正弦波。 三、设计要求:1.分辨率优于1Hz; 2.ROM表长度8位,位宽10位; 3.输出频率优于100kHz(每个周期数据点大于50); 4.显示信号频率/频率控制字(可切换); 5.直接输入频率控制字或输出频率。 四、实验仪器:计算机1台; QUARTUS II软件一套; 试验箱1台。 五、方法步骤: 1.绘制ASM图; 阅读实验要求,分析实验过程,然后绘制ASM图如下页:

2. 建立一个工程; 打开QUARTUS II 软件,Files → New Project Wizard → 选择储存路径→ next → next → 选择芯片Cyclone II EP2C5T144C8 → next → finish 。 3. 编写累加器的VHDL 文件,并将其转换成图形文件; ①Files → New → VHDL File → 开始编写程序; ②经过编写后,进行编译(Tools → Compiler Tool →Strart),改错,再编译,改错直至编译成功,保 存文件名为“dds1.vhd ”;

程序如下: library ieee; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity dds1 is port( m:in std_logic_vector(19 downto 0); cp,r:in std_logic; q:out std_logic_vector(7 downto 0) ); end dds1; architecture z of dds1 is signal t:std_logic_vector(22 downto 0); signal n:std_logic_vector(22 downto 0); begin process(cp) begin n<="000"&m; if cp'event and cp='1' then if r='1' then t<="00000000000000000000000"; elsif t+n>8388607 then t<="00000000000000000000000"; else t<=t+n; end if; end if; q<=t(22 downto 15); end process; end z; ③编译通过以后,File → Create/Update → Create Symbol Files For Current File。

相关主题
相关文档
最新文档