电子设计自动化实验报告

电子设计自动化实验报告
电子设计自动化实验报告

江苏大学京江学院Array JINGJIANG COLLEGE OF J I A N G S U U N I V E R S I T Y 课程实验报告

电子设计自动化实验报告

班级:

学号:

姓名:

实验一半加器和全加器的设计

一、实验目的

1. 进一步熟悉Max+PlusII软件的使用

2. 学习用图形输入方式和VHDL语言输入方式设计数字电路

3. 学习用元件例化语句进行结构化设计

二、实验内容

1. 用图形方式设计一位半加器

2.用VHDL语言设计一位半加器

3.用图形方式设计全加器

4.用元件例化方式设计全加器

三、设计实现

1. 用图形方式设计一位半加器

原理图:

仿真波形:

2.用VHDL语言设计全加器

原理图:

源程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY or2 IS

PORT(a,b:IN STD_LOGIC;c:OUT STD_LOGIC);

END ENTITY or2;

ARCHITECTURE fu1 OF or2 IS

BEGIN

c<=a OR b;

END ARCHITECTURE fu1;

---半加器描述

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY half_adder IS

PORT(a,b:IN STD_LOGIC;s,c:OUT STD_LOGIC);

END ENTITY half_adder ;

ARCHITECTURE fu1 OF half_adder IS

BEGIN

s<=a XOR b;

c<=a and b;

END ARCHITECTURE fu1;

--1位二进制全加器顶层设计描述

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY f_adder IS

PORT( A,B,Cin :IN STD_LOGIC;sum,cout :OUT STD_LOGIC;);

END ENTITY f_adder IS

COMPONENT h_adder2;

PORT( a,b:IN STD_LOGIC;

c,s :OUT STD_LOGIC);

END COMPONENT;

COMPONENT or2

PORT( a,b :IN STD_LOGIC; c :OUT STD_LOGIC);

END COMPOMENT;

SINGNAL d,e,f : STD_LOGIC;

BEGIN

u1 :h_adder2 PORT MAP( A,B,d,e);

u2 :h_adder2 PORT MAP(d,Cin,f,sum);

u3 : or2 PORT MAP(e,f,cout);

END ARCHTECTURE fd1;

仿真波形:

实验二四选一数据选择器的设计

一、实验目的

1. 进一步熟悉Max+PlusII软件的使用

2. 学习VHDL语言中顺序语句和并行语句的使用

二、实验内容

1. 用if语句实现

2. 用case语句实现

3. 用when…else语句实现

4. 用with…select …when语句实现

三、设计实现

1. if

源程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY mux41_3 IS

PORT(a,b,c,d:IN STD_LOGIC_VECTOR(3 DOWNTO 0); sel:IN STD_LOGIC_VECTOR(1 DOWNTO 0);

y :OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END mux41_3;

ARCHITECTURE one OF mux41_3 IS

BEGIN

PROCESS(sel)

BEGIN

IF sel="00" THEN

y<=a;

ELSIF sel="01" THEN

y<=b;

ELSIF sel="10" THEN

y<=c;

ELSE

y<=d;

END IF;

END PROCESS;

END one;

仿真波形:

2. case

源程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY mux41_4 IS

PORT(a,b,c,d:IN STD_LOGIC_VECTOR(3 DOWNTO 0); sel:IN STD_LOGIC_VECTOR(1 DOWNTO 0);

y :OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END mux41_4;

ARCHITECTURE one OF mux41_4 IS

BEGIN

PROCESS(sel)

BEGIN

CASE sel IS

WHEN "00"=>y<=a;

WHEN "01"=>y<=b;

WHEN "10"=>y<=c;

WHEN "11"=>y<=d;

WHEN OTHERS=>y<="XX";

END CASE;

END PROCESS;

END one;

3.When else

源程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY mux41 IS

PORT(a,b,c,d:IN STD_LOGIC_VECTOR(3 DOWNTO 0); sel:IN STD_LOGIC_VECTOR(1 DOWNTO 0);

y :OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END mux41;

ARCHITECTURE one OF mux41 IS

BEGIN

y<=a WHEN sel="00" ELSE

b WHEN sel="01" ELSE

c WHEN sel="10" ELSE

d WHEN sel="11" ELSE

"xxx"WHEN OTHERS;

END one;

4.with select

源程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY mux41_2 IS

PORT(a,b,c,d:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

sel:IN STD_LOGIC_VECTOR(1 DOWNTO 0);

y :OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END mux41_2;

ARCHITECTURE one OF mux41_2 IS

BEGIN

WITH sel SELECT

y<=a WHEN "00",

b WHEN "01",

c WHEN "10",

d WHEN "11",

"XXXX" WHEN OTHERS;

END one;

实验三可逆计数器的设计

一、实验目的

1. 进一步熟悉Max+PlusII软件的使用

2. 学习简单时序逻辑电路的设计

二、实验内容

1.用VHDL语言设计可逆计数器的设计

三、设计实现

源程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY bicnt IS

PORT(dir :IN STD_LOGIC;

clk :IN STD_LOGIC;

q:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); END bicnt;

ARCHITECTURE one OF bicnt IS

BEGIN

PROCESS(clk)

BEGIN

IF (clk'EVENT AND clk='1')THEN

IF dir='0'THEN q<=q+1;

ELSE q<=q-1;

END IF;

END IF;

END PROCESS;

END one;

仿真波形:

2011-年全国大学生电子设计竞赛实验报告

2011 年全国大学生电子设计竞赛实验报告 一、实验目的 1、熟练掌握各种常用实验仪器的使用方法。 2、熟悉LM324运放的典型参数及应用。 3、掌握PDF 资料的查询与阅读方法。 4、掌握电子设计与调试的基本流程及方法。 二、实验内容 设计要求: 使用一片通用四运放芯片LM324组成电路框图见图1,实现下述功能: 1. 使用低频信号源产生100.1sin 2()i U f t V =∏,f 0 =500Hz 的正弦波信号,加至 加法器输入端。 2. 自制三角波产生器产生T=0.5ms (±5%),V p-p =4V 的类似三角波信号1o u ,并加至加法器的另一输入端。 3. 自制加法器,使其输出电压U i2 = 10U i1+U o1。 4. 自制选频滤波器,滤除1o u 频率分量,得到峰峰值等于9V 的正弦信号2o u ,2o u 用示波器观察无明显失真。 5.将1o u 和2o u 送入自制比较器,其输出在1K Ω负载上得到峰峰值为2V 的输出电压3o u 。 方案论证与数值计算: 由于电源只能选用+12V 和+5V 两种单电源,由稳压电源供给,而

LM324N具有宽的单电源或双电源工作电压范围,单电源:3-30V,双电源:1.5V-15V,经过试验我们选择双电源供电,所以进行电源的搭建

三角波发生部分: 方案一: 三角波发生器电路按照由方波经过积分电路得到,需要两个放大器,不满足实验要求。 方案二: 利用RC充放电模拟三角波,通过两个电位器分别来调节周期和峰峰值至实验要求的值。达到合理利用现有资源高效达到要求的目的。因此我们采用方案二。题目要求三角波发生器产生的周期为T=0.5ms,Vpp=4V的类似三角波。我们由公式T=2*R14*C1*ln(1+2*R3/R15)另外运放1端输出电压设为U,则Uo1=(R15/(R15+R1))*U。选取电容为较常见的47nf , 计算得R1=2R14;R14=0-5K,所以取R1为0-10k;得到R15=0-10K; 加法器部分

电子工艺实习实验报告

1.1.1.1.1北京邮电大学实习报告

1.焊接工艺 1.1 焊接工艺的基本知识 焊接是使金属连接的一种方法。它利用加热手段,在两种金属的接触面,通过高温条件下焊接材料的原子或分子的相互扩散作用,使两种金属间形成永结牢固的结合面而结合成整体。焊接的过程有浸润、扩散、冷却凝固三个阶段的变化。利用焊接的方法进行连接而形成的接点叫焊点。 焊接工艺是指焊接过程中的一整套技术规定。包括焊接方法、焊前准备、焊接材料、焊接设备、焊接顺序、焊接操作、工艺参数以及焊后热处理等。 我们实验中主要是PCB板的焊接。 1.2 焊接工具、焊料、焊剂的类别与作用 焊接工具有烙铁、镊子、螺丝刀、钳子等。 电烙铁的作用是加热焊料和被焊接金属,最终形成焊点。按加热方式可分为热式、外热式等,按功能分为防静电式、吸锡式、恒温式等。本实验使用外热式电烙铁。 焊料是焊接时用于填加到焊缝、堆焊层和钎缝中的金属合金材料的总称。包括焊丝、焊条、钎料等。焊料分软焊料和硬焊料两种,软焊料熔点较低,质软,也叫焊镴,如焊锡;硬焊料熔点较高,质硬,如铜锌合金。本次实习使用的焊料为焊锡(铅锡合金)。 焊剂是指焊接时,能够熔化形成熔渣和(或)气体,对熔化金属起保护和冶金物理化学作用的一种物质,又称助焊剂或阻焊剂,一般由活化剂、树脂、扩散剂、溶剂四部分组成。一般可划分为酸性焊剂和碱性焊剂两种。作用:清除焊件表面的氧化膜,保证焊锡浸润。本实验的焊料是松香。 下面分列各工具及材料的作用。 电烙铁:熔化焊锡; 电烙铁架:放置电烙铁; 镊子:夹持焊锡或去除导线皮; 螺丝刀:拆组机器狗; 钳子:裁剪导线或焊锡; 焊锡(锡铅合金):固定焊脚,电路板和器件电气连接; 助焊剂(松香):加速焊锡融化,去除氧化膜,防止氧化等; 阻焊剂(光固树脂):板上和板层间的绝缘材料。 1.3焊接方法 手工焊接主要为五步焊接法: 1.准备施焊,检查焊件、焊锡丝、烙铁,保持焊件和烙铁头的干净; 2.加热焊件,用烙铁头加热焊件各部分,加热时不要施压; 3.熔化焊料,焊锡丝从烙铁对面接触焊件,将焊丝至于焊点,是焊料融化并润湿焊点; 4.移开焊锡,当融化的焊料在焊点上堆积一定量后,移开锡丝; 5.移开烙铁,当焊锡完全润湿后,迅速移开烙铁,在焊锡凝固前保持焊件为静止状态。

电子设计实验报告

电子技术课程设计报告

目录 1. 电子琴 (2) (1.1 )设计要求 (2) (1.2 )设计的作用. 目的 (2) (1.3 )设计的具体实现 (3) (1.4)心得体会、存在问题和进一步的改进意见等 (7) (1.5)附录 (8) (1.6 )参考文献 (9) (1.7 )附图 (9) 2. 温度控制电路 (10) 2.1 )设计要求 (10) (2.2 )设计的作用. 目的 (10) (2.3 )设计的具体实现 (10) (2.4)心得体会、存在问题和进一步的改进意见等12 (2.5)附录 (12) (2.6 )参考文献 (13) 3. ...................................................... 信号发生器13 (3.1 )设计要求 (13) (3.2 )设计的作用. 目的 (13) (3.3 )设计的具体实现 (14) (3.4)心得体会、存在问题和进一步的改进意见等 (17) (3.5)附录 (17) (3.6 )参考文献 (17) 4. ...................................................... 音频放大器18 (4.1 )设计要求 (18) (4.2 )设计的作用. 目的 (18) (4.3 )设计的具体实现 (18) 4.4)心得体会、存在问题和进一步的改进意见等 (21) (4.5) .......................................... 附录21

(4.6 )参考文献 (21) 简易电子琴设计报告 一.设计要求本设计是基于学校实验室的环境,根据实验室提供的实验条件来完成设计任务,设计一个简易电子琴。 (1).按下不同琴键即改变RC 值,能发出C 调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出。 (2).选择电路方案,完成对确定方案电路的设计。计算电路元件参数并记录对应不同音阶时的电路参数值、元件选择、并画出总体电路原理图,阐述基本原理。(3).连接安装调试电路。 (4).写出设计总结报告。 二. 设计的作用、目的 1. 学会用仿真软件对设计的原理图进行仿真。培养创新能力和创新思维,锻炼学生 自学软件的能力,通过查阅手册和文献资料,培养独立分析问题和解决问题的能 力。 2. 培养学生正确的设计思想,理论联系实际的工作作风,严肃认真、实事求是的科 学态度和勇于探索的创新精神。 3. 通过课程设计,使学生在理论计算、结构设计、工程绘图、查阅设计资料、标准 与规范的运用和计算机应用方面的能力得到训练和提高。

电子系统设计 实验报告

本科生实验报告 实验课程电子系统设计 学院名称 专业名称测控技术与仪器 学生姓名 学生学号 指导教师 实验地点 实验成绩 二〇年月——二〇年月

实验一、运放应用电路设计 一、实验目的 (1)了解并运用NE555定时器或者其他电路,学会脉冲发生器的设计,认识了解各元器件的作用和用法。 (2)掌握运算放大器基本应用电路设计 二、实验要求 (1)使用555或其他电路设计一个脉冲发生器,并能满足以下要求:产生三角波V2,其峰峰值为4V,周期为0.5ms,允许T有±5%的误差。 V2/V +2 图1-1 三角波脉冲信号 (2)使用一片四运放芯片LM324设计所示电路,实现如下功能:设计加法器电路,实现V3=10V1+V2,V1是正弦波信号,峰峰值0.01v,频率10kHz。 V3 图1-2 加法电路原理

三、实验内容 1、555定时器的说明: NE555是属于555系列的计时IC的其中的一种型号,555系列IC的接脚功能及运用都是相容的,只是型号不同的因其价格不同其稳定度、省电、可产生的振荡频率也不大相同;而555是一个用途很广且相当普遍的计时IC,只需少数的电阻和电容,便可产生数位电路所需的各种不同频率的脉波讯号。 a. NE555的特点有: 1.只需简单的电阻器、电容器,即可完成特定的振荡延时作用。其延时范围极广,可由几微秒至几小时之久。 2.它的操作电源范围极大,可与TTL,CMOS等逻辑闸配合,也就是它的输出准位及输入触发准位,均能与这些逻辑系列的高、低态组合。 3.其输出端的供给电流大,可直接推动多种自动控制的负载。 4.它的计时精确度高、温度稳定度佳,且价格便宜。 b. NE555引脚位配置说明下: NE555接脚图: 图1-3 555定时器引脚图 Pin 1 (接地) -地线(或共同接地) ,通常被连接到电路共同接地。 Pin 2 (触发点) -这个脚位是触发NE555使其启动它的时间周期。触发信号上缘电压须大于2/3 VCC,下缘须低于1/3 VCC 。

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

单片机电子时钟课程设计实验报告

单片机电子时钟课程设 计实验报告 Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

《单片机原理与应用》课程设计 总结报告 题目:单片机电子时钟(带秒表)的设计 设计人员:张保江江润洲 学号: 班级:自动化1211 指导老师:阮海容 目录 1.题目与主要功能要求 (2) 2.整体设计框图及整机概述 (3) 3.各硬件单元电路的设计、参数分析及原理说明 (3) 4.软件流程图和流程说明 (4) 5.总结设计及调试的体会 (10) 附录 1.图一:系统电路原理图 (11) 2.图二:系统电路 PCB (12) 3.表一:元器件清单 (13) 4.时钟程序源码 (14)

题目:单片机电子时钟的设计与实现 课程设计的目的和意义 课程设计的目的与意义在于让我们将理论与实践相结合。培养我们综合运用电子课程中的理论知识解决实际性问题的能力。让我们对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立完成某些单片机应用系统的开发和设计打下一个坚实的基础。 课程设计的基本任务 利用89C51单片机最小系统,综合应用单片机定时器、中断、数码显示、键盘输入等知识,设计一款单片机和简单外设控制的电子时钟。 主要功能要求 最基本要求 1)使用MCS-51单片机设计一个时钟。要求具有6位LED显示、3个按键输入。 2)完成硬件实物制作或使用Pruteus仿真(注意位驱动应能提供足够的电流)。 3)6位LED数码管从左到右分别显示时、分、秒(各占用2位),采用24小时标准计时制。开始计时时为000000,到235959后又变成000000。 4)使用3个键分别作为小时、分、秒的调校键。每按一次键,对应的显示值便加1。分、秒加到59后再按键即变为00;小时加到23后再按键即变为00。在调校时均不向上一单位进位 (例如分加到59后变为00,但小时不发生改变)。 5) 软件设计必须使用MCS-51片内定时器,采用定时中断结构,不得使用软件延时法,也不得使用其他时钟芯片。 6)设计八段数码管显示电路并编写驱动程序,输入并调试拆字程序和数码显示程序。7)掌握硬件和软件联合调试的方法。 8)完成系统硬件电路的设计和制作。 9)完成系统程序的设计。 10)完成整个系统的设计、调试和制作。

电子设计大赛实验报告

2014年江苏省大学生电子设计竞赛实验报告 无线电能传输装置(F题) 2014年8月15日 摘要:本设计基于磁耦合式谐振荡电路来进行无线电能传输,点亮LED灯。由于输入和输出都是直流电 的形式,因此本系统将分为以下四个部分:第一部分为驱动电路(DC-AC),为使直流分量转化成交流电并通过耦合线圈将电能传输给负载,采用LC谐振的方式让回路中电容和电感构成一个二阶LC谐振电路,驱动MOS管形成交流电。第二部分为发射电路(AC-AC),应用电磁感应原理,在二次线圈中产生感应电流并输给接受电路。第三部分为电能转换电路(AC-DC),输出的感应交流电经整流桥桥式整流后流入升压电路。第四部分为升压电路(DC-DC),对整流之后的直流进行升压,防止整流后的电压无法驱动LED。本设计分模块搭建并对各个部分电路进行原理分析。在调试时,采用分模块调试,根据调试结果修改参数,最终形成一个完整的稳定系统。 关键词: 磁耦合式谐振荡电路LC振荡电路桥式整流DC-DC升压 [Abstract] The design is based on magnetic resonance oscillation circuit coupled to the wireless power transmission, lit LED lights. Since the input and output are in the form of direct current, so the system will be divided into the following four parts: The first part of the drive circuit (DC-AC), is converted into alternating current so that the DC component and the power transmission through the coupling coil to the load, using LC resonant circuit in a manner so that the capacitance and inductance form a second order LC resonant circuit, the AC drive MOS tube formation. The second part is the transmitter circuit (AC-AC), application of the principle of electromagnetic induction,

电子工艺实验报告

电子工艺实验报告 一、实验目的: (1)熟悉手工焊锡的常用工具的使用及其维护与修理。 (2)基本掌握手工电烙铁的焊接技术,能够独立的完成简单电子产品的安装与焊接。熟悉电子产品的安装工艺的生产流程,印制电路板设计的步骤和方法,手工制作印制电板的工艺流程,能够根据电路原理图,元器件实物。 (3)了解常用电子器件的类别、型号、规格、性能及其使用范围,能查阅有关的电子器件图书。 (4)能够选用常用的电子器件。了解电子产品的焊接、调试与维修方法。了解一般电子产品的生产调试过程,初步学习调试电子产品的方法。 抢答器焊接部分 二、实验步骤: (1)学习识别简单的电子元件与电子电路。 (2)学习并掌握抢答器的工作原理。 (3)学习焊接各种电子元器件的操作方法。 (4)按照图纸焊接元件。 实验原理图

焊接技巧及烙铁使用 (一)焊接机巧 1.焊前处理: 焊接前,应对元件引脚或电路板的焊接部位进行焊前处理。 ①、清除焊接部位的氧化层 可用断锯条制成小刀。刮去金属引线表面的氧化层,使引脚露出金属光泽。印刷电路板可用细纱纸将铜箔打光后,涂上一层松香酒精溶液。 ②、元件镀锡

在刮净的引线上镀锡。可将引线蘸一下松香酒精溶液后,将带锡的热烙铁头压在引线上,并转动引线。即可使引线均匀地镀上一层很薄的锡层。导线焊接前,应将绝缘外皮剥去,再经过上面两项处理,才能正式焊接。若是多股金属丝的导线,打光后应先拧在一起,然后再镀锡。 2.做好焊前处理之后,就可正式进行焊接。 ①、右手持电烙铁。左手用尖嘴钳或镊子夹持元件或导线。焊接前,电烙铁要充分预热。烙铁头刃面上要吃锡,即带上一定量焊锡。 ②、将烙铁头刃面紧贴在焊点处。电烙铁与水平面大约成60℃角。以便于熔化的锡从烙铁头上流到焊点上。烙铁头在焊点处停留的时间控制在2~3秒钟。 ③、抬开烙铁头。左手仍持元件不动。待焊点处的锡冷却凝固后,才可松开左手。 ④、用镊子转动引线,确认不松动,然后可用偏口钳剪去多余的引线。 3.焊接质量 焊接时,要保证每个焊点焊接牢固、接触良好。要保证焊接质量。 所示应是锡点光亮,圆滑而无毛刺,锡量适中。锡和被焊物融合牢固。不应有虚焊和假焊。 虚焊是焊点处只有少量锡焊住,造成接触不良,时通时断。假焊是指表面上好像焊住了,但实际上并没有焊上,有时用手一拔,引线就可以从焊点中拔出。

电工电子综合实验1--裂相电路仿真实验报告格 2

电子电工综合实验论文 专题:裂相(分相)电路 院系:自动化学院 专业:电气工程及其自动化 姓名:小格子 学号: 指导老师:徐行健

裂相(分相)电路 摘要: 本实验通过仿真软件Mulitinism7,研究如何将一个单相的交流分裂成多相交流电源的问题。用如下理论依据:电容、电感元件两端的电压和电流相位差是90度,将这种元件和与之串联的电阻当作电源,这样就可以把单相交流源分裂成两相交流电源、三相电源。同时本实验还研究了裂相后的电源接不同的负载时电压、功率的变化。得到如下结论: 1.裂相后的电源接相等负载时两端的电压和负载值成正相关关系; 2.接适当的负载,裂相后的电路负载消耗的功率将远大于电源消耗的功率; 3.负载为感性时,两实验得到的曲线差别较小,反之,则较大。 关键词:分相两相三相负载功率阻性容性感性 引言 根据电路理论可知,电容元件和电感元件最容易改变交流电的相位,又因它们不消耗能量,可用作裂相电路的裂相元件。所谓裂相,就是将适当的电容、电感与三相对称负载相配接,使三相负载从单相电源获得三相对称电压。而生活和工作中一般没有三相动力电源,只有单相电源,如何利用单相电源为三相负载供电,就成了值得深入研究的问题了。 正文 1.实验材料与设置装备 本实验是理想状态下的实验,所有数据都通过在电路专用软件Multisim 7中模拟实验测得的;所有实验器材为(均为理想器材) 实验原理: (1). 将单相电源分裂成两相电源的电路结构设计 把电源U1分裂成U1和U2输出电压,如下图所示为RC桥式分相电压原理,可以把输入电压分成两个有效值相等,相位相差90度的两个电压源。 上图中输出电压U1和U2与US之比为

现代电子实验报告 电子科技大学

基于FPGA的现代电子实验设计报告 ——数字式秒表设计(VHDL)学院:物理电子学院 专业: 学号: 学生姓名: 指导教师:刘曦 实验地点:科研楼303 实验时间:

摘要: 通过使用VHDL语言开发FPGA的一般流程,重点介绍了秒表的基本原理和相应的设计方案,最终采用了一种基于FPGA 的数字频率的实现方法。该设计采用硬件描述语言VHDL,在软件开发平台ISE上完成。该设计的秒表能准确地完成启动,停止,分段,复位功能。使用ModelSim 仿真软件对VHDL 程序做了仿真,并完成了综合布局布线,最终下载到EEC-FPGA实验板上取得良好测试效果。 关键词:FPGA,VHDL,ISE,ModelSim

目录 绪论 (4) 第一章实验任务 (5) 第二章系统需求和解决方案计划 (5) 第三章设计思路 (6) 第四章系统组成和解决方案 (6) 第五章各分模块原理 (8) 第六章仿真结果与分析 (11) 第七章分配引脚和下载实现 (13) 第八章实验结论 (14)

绪论: 1.1课程介绍: 《现代电子技术综合实验》课程通过引入模拟电子技术和数字逻辑设计的综合应用、基于MCU/FPGA/EDA技术的系统设计等综合型设计型实验,对学生进行电子系统综合设计与实践能力的训练与培养。 通过《现代电子技术综合实验》课程的学习,使学生对系统设计原理、主要性能参数的选择原则、单元电路和系统电路设计方法及仿真技术、测试方案拟定及调测技术有所了解;使学生初步掌握电子技术中应用开发的一般流程,初步建立起有关系统设计的基本概念,掌握其基本设计方法,为将来从事电子技术应用和研究工作打下基础。 本文介绍了基于FPGA的数字式秒表的设计方法,设计采用硬件描述语言VHDL ,在软件开发平台ISE上完成,可以在较高速时钟频率(48MHz)下正常工作。该数字频率计采用测频的方法,能准确的测量频率在10Hz到100MHz之间的信号。使用ModelSim仿真软件对VHDL程序做了仿真,并完成了综合布局布线,最终下载到芯片Spartan3A上取得良好测试效果。 1.2VHDL语言简介:

电子设计实验报告——充电器

实验报告 实验课程名称直流稳压电源及充电器 专业班级电信1403班 学生学号2014213940 学生姓名凌志云 实验指导教师黄光明

实验课程名称:电子设计1 一、实验项目名称:ADS06-2型直流稳压电源及充电器设计 一般晶体管电路都需要直流电源,而且是稳定的电源,才能正常工作,如收音机,电视机等。不管是用分立元件组成电路,还是用集成电路,其中都少不了放大信号的晶体管。为了保证晶体管能够保证放大信号,必须采用稳定的直流电源供电,稳定的直流电压可由干电池或蓄电池获得,但他们储蓄电量的能力有限,不能供应电器长时间工作。 稳定的直流电源可由交流电经过降压,然后经过稳压获得,这就是常见的稳压电源,他能供电器长时间工作。本课题主要设计一个连续可调稳压电路以及用这个电路对5号和7号电池进行充电,以实现其多功能化。 二、实验目的和要求: 实验目的: 1.学会直流稳压电源及充电器的设计方法和性能指标测试方法。 2.培养实践技能以及分析和解决实际问题的能力。 实验要求: 1.制作一个连续可调直流稳压电源及充电器,主要技术指标要求 (1)输出电压:交流220V,直流3V,6V (2)最大输出电流:500mA (3)电池充电器:左通道(E1,E2)充电电流50~60MA(普通充电);右通道(E3,E4)充电电流110~130mA(快速充电) 2.稳压电源和充电器可同时使用,但两者电流之和不能超过500mA 三、实验内容和原理: 1.直流稳压电源设计思路 (1)电网供电电压交流220V(有效值)50Hz,要获得低压直流输出,首先必须采用电源变压器将电网电压降低获得所需要交流电压。 (2)降压后的交流电压,通过整流电路变成单向直流电,但其幅度变化大(即脉动大)。 (3)脉动大的直流电压须经过滤波电路变成平滑,脉动小的直流电,即将交流成份滤掉,保留其直流成份。 (4)滤波后的直流电压,再通过稳压电路稳压,便可得到基本不受外界影响的稳定直流电压输出,供给负载RL。 2.直流稳压电源原理 直流稳压电源是一种将220V工频交流电转换成稳压输出6V、9V的直流电压的装置,它需要变压、整流、滤波、稳压四个环节才能完成,见图。

电子工艺实习实验报告心得

电子工艺实习实验报告心得 为期四周的电子工艺实习结束了,在这期间我们学习了常用电子元器件,以及相关的各种工具;基本掌握了电子元器件的基本手工焊接方法;最后焊接完成了DT830D数字万用表的焊接与组装。这们课不同于其他的课程,主要是培养我们的手能力,同时它作为我们专业的一门必修课也让大家收获了很多,当最后我拿着我焊接组装的万用表时,心中有着一种喜悦,是一种通过自己双手获得成功后的喜悦。学完这门课后我对电子产品的生产有了个新的认识,它并不像过去我认为的装起来就好,而是要经历一定过程的。 我总结了一下,一个电子产品从开始到出厂的过程主要包括: 1、设计电路 2、制作印刷电路板,准备电子元器件 3、插装电子元器件 4、焊接电子元器件及修剪拐角 5、检验与调试 6、组装电子产品,包装 其中最主要的的就是焊接,焊接工艺的好坏直接影响着产品的档次与功能。特别是现在电子产品向小型化,与多功能化的方向发展,如果焊接工艺跟不上的话,再好的设计都是无法实现的。学习这门课感觉就是在学习电子产品的制造精髓------焊接。在细一点就是手工焊接,虽然这种方法在正规生产中是无法实现的,但他作为所有焊接技术的基础,以及我们学习电专业的人所必备的技能有着绝对的存在价值。

焊接是使金属连接的一种方法,利用加热的手段在两种金属的接触面通过焊接材料的原子或分子的相互扩散作用,是两种金属件形成一种永久的牢固结合。利用焊接方式进行连接而形成的连接叫做焊点。电子元器件的焊接称为锡焊,其主要原 手工焊一般分为四个步奏 1、准备焊接,其中最主要的是把少量的焊锡丝和助焊剂加到烙铁头上,以避免烙铁头的氧化,影响焊接质量,而且这样还可以使烙焊件将烙铁头放在被焊接的焊点上,使焊点升温。这样可以使焊锡铁随时处于可焊接状态。 2、接热更好的流向另一面焊盘。 3、溶化焊料,当焊点加热到一定程度时,将焊锡丝放在焊接处,使其溶解适量的焊料后一看焊锡丝。 4、移开烙铁,移开烙铁的时机,方向和速度决定着焊接的质量。正确的方法是先慢后快,45度的方向。在我焊接时,我感觉最主要问题是烙铁头的氧化,当廖铁头氧化后将不能挂锡,使焊锡溶解为一个小球不能与焊盘很好的连接。 在焊接中我体会到要注意的问题 1、焊锡量要适中,过多的焊锡会造成焊锡的浪费,焊接时间的增加,不易察觉的短路。过少的话会造成焊点强度降低,虚焊。在我焊接时刚开始我怕给多了所以就是都很少,有时甚至焊接面没有明显的焊接,后来心理慢慢默数1234来控制国际的心理,这时焊锡又有点多,随着焊接数的增加我慢慢掌握了焊接的用量。

电子系统综合设计实验报告

电子系统综合设计实验报告 所选课题:±15V直流双路可调电源 学院:信息科学与工程学院 专业班级: 学号: 学生姓名: 指导教师: 2016年06月

摘要本次设计本来是要做±15V直流双路可调电源的,但由于买不到规格为±18V的变压器,只有±15V大小的变压器,所以最后输出结果会较原本预期要小。本设计主要采用三端稳压电路设计直流稳压电源来达到双路可调的要求。最后实物模型的输出电压在±13左右波动。 1、任务需求 ⑴有+15V和-15V两路输出,误差不超过上下1.5V。(但在本次设计中,没有所需变压器,所以只能到±12.5V) ⑵在保证正常稳压的前提下,尽量减小功效。 ⑶做出实物并且可调满足需求 2、提出方案 直流可变稳压电源一般由整流变压器,整流电路,滤波器和稳压环节组成如下图a所示。 ⑴单相桥式整流 作用之后的输出波形图如下:

⑵电容滤波 作用之后的输出波形图如下: ⑶可调式三端集成稳压器是指输出电压可以连续调节的稳压器,有输出正电压的LM317三端稳压器;有输出负电压的LM337三端稳压器。在可调式三端集成稳压器中,稳压器的三个端是指输入端、输出端和调节端。 LM317的引脚图如下图所示:(LM337的2和3引脚作用与317相反)

3、详细电路图: 因为大容量电解电容C1,C2有一定的绕制电感分布电感,易引起自激振荡,形成高频干扰,所以稳压器的输入、输出端常并入瓷介质小容量电容C5,C6,C7,C8用来抵消电感效应,抑制高频干扰。 参数计算: 滤波电容计算: 变压器的次级线圈电压为15V ,当输出电流为0.5A 时,我们可以求得电路的负载为I =U /R=34Ω时,我们可以根据滤波电容的计算公式: C=т/R,来求滤波电容的取值范围,其中在电路频率为50HZ 的情况下,T 为20ms 则电容的取值范围大于600uF ,保险起见我们可以取标准值为2200uF 额定电压为50V 的点解电容。另外,由于实际电阻或电路

电子设计 实验报告.

台灯自动开关控制电路设计设计报告 设计任务 设计制作一个台灯自动开关控制电路设计 设计要求 (1).设计并制作一个台灯自动开关盒,以实现“人来灯亮,人走灯灭,光照灯灭”等节电功能; (2).台灯盒有交流电源输入线和交流电源输出插座,输出可接额定电压220V,功耗不大于60W的台灯; (3).具有两个传感器,即:(1)光敏传传感器。将其安装在开关盒适当的地方,作为检测光照;(2)对人体敏感的传感器,感应人体接近; (4).自动开关盒做好后,将台灯的电源插头插入开关盒的电源输出插座,便可实现如下功能:(1)在晚上,当没有足够的光源照到光敏元件上时,若有人靠近台灯,它便自动发光,人离开台灯后,能自动断开电源;(2)在白天有足够强的光线(以适合于阅读为标准)照射到光敏元件上时,无论人靠近台灯,它都不会亮; 一. 方案选择及电路的工作原理 为了实现智能开关自动调光的目的,准备了以下方案: 方案一: 首先,灯亮的前提是时间为晚上,所以首先要检测是白天还是晚上,通过光线强弱的不同来判定。运用光敏电阻来感应光线的变化。当白天光线强时,光敏电阻的阻值较小;而当晚上光线较弱时,其电阻阻值较大。通过阻值的变化所引起的一系列变化来确定白天还是黑夜。 运用红外发射接收对管检测人是否进入所在范围。在人进入之前,由于红外发射和接收管并行排列,接收管没有接收到红外信号,其电阻阻值很大;当人进入后,发射管发出的红外信号被人体反射,当接收管接收之后电阻阻值变小。通过阻值的变化引起电路中其他变量的变化来确定人是否在所测范围。 最后运用与门来使电路达到当天黑同时又有人时台灯自动打开。 方案二: 对于光线感应部分与方案一相同,而在人体检测的部分则改用红外感应器LHI907,它是利用红外技术,当人靠近时,里面的电路发生变化,输出信号。当红外感应器检测到有人靠近时,输出一个高电平。

电子工艺实习实验报告

实验报告 实验名称电子工艺实习 实验项目阶梯波电路/机器猫/万用表实验仪器电烙铁示波器剥线钳 吸锡器导线模板芯片 系别计算机科学与工程 专业计算机科学与技术 班级/学号 学生姓名 实验日期 成绩 指导老师

一、实习目的 主要通过该课程使学生了解现代电子技术的发展,掌握现代化的电子工艺技术,认识、了解和使用电子器件和元件,设计电子产品,完成电子产品制作的全过程。掌握器件识别检测、Protel2004电路原理图、PCB设计制作、焊接工艺的基本技能,掌握电子电路安装、调试技术等技能并能排除常见故障。培养学生掌握现代电子工艺技术的基本技能,培养学生理论联系实际的能力,锻炼和培养学生的实践动手能力和创新能力,适应现代电子技术发展要求和企业社会要求的工程实践能力的主要途径之一。 二、实习内容要求 1、掌握电子元器件的焊接及电子产品的装接工艺; 2、掌握电子器件手册、图纸和工艺文件的使用知识; 3、掌握专用工具、有关仪器仪表的正确使用; 4、完成阶梯波信号发生器电路设计,掌握电子产品设计方法;完成阶梯波信号发生器的调试、测试,掌握电子产品整机系统测试方法;能正确使用调试器、仪表,完成复杂产品的全部调试,并能排除常见故障。 5、完成电子产品套件机器猫与万用表,熟悉电路图及其中的各种元器件,练习焊接技术,然后自己动手焊接调试,直到产品合格。 阶梯波电路要求

(一)阶梯波电路要求 1.学习阶梯波电路工作原理 采用简易数模转换(D/A)式梯波电路发生器的设计主要有电源电路、连续脉冲信号、发生器电路、阶梯波生成级、阶梯波放大级等部分组成。 2.了解器件工作特性 a、555定时器: 555定时器的内部电路方框图如图2所示,该集成电路由四部分组成:电阻分压器、电压比较器、基本RS触发器、输出缓冲器和放电三极管。 比较器的参考电压由三只5 kΩ的电阻器构成分压,它们分别使高电平比较器A1同相比较端和低电平比较器A2的反相输入端的参考电平为2Vcc/3和Vcc/3。A1和A2的输出端控制RS触发器状态和放电管开关状态。当输入信号输入并超过2Vcc/3时,触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信号自2脚输入并低于Vcc/3时,触发器置位,555的3脚输出高电平,同时充电,开关管截止.

电子设计综合实训报告

目录 摘要 (2) 1、前言 (3) 2、设计过程 (4) 2.1、任务及要求 (4) 2.1.1、任务 (4) 2.1.2、要求 (4) 2.2、总体设计方案 (4) 2.2.1、系统设计原理 (4) 2.2.2、总体控制框图 (4) 2.3、硬件电路 (5) 2.3.1、SCT89C52单片机介绍 (5) 2.3.2、时钟电路 (7) 2.3.3、复位电路 (7) 2.4、软件电路 (9) 2.4.1、系统流程图 (9) 2.4.2、系统程序 (9) 3、结果 (11) 4、结论 (11) 5、参考文献 (12) 6、致谢 (12)

摘要 彩灯,又名花灯,是我国普遍流行的传统的民间的综合性的工艺品。彩灯艺术也就是灯的综合性的装饰艺术。 在古代,彩灯主要作用是照明,人类用动植物和矿物的油蜡来作采光的灯。由纸或者绢作为灯笼的外皮,骨架通常使用竹或木条制作,中间放上蜡烛。《周礼、司恒氏》载“凡邦之大事,供烛庭燎、烛麻烛也”,可见,周朝就有了烛灯。到了战国,灯的制造工艺蓬勃发展,这在屈原《楚辞》中就有所表述:“兰膏明烛华铜错”。汉代是铜灯制作的鼎盛时期。《西京杂记》载:“汉高祖入咸阳宫,秦有青玉五枝灯,高七尺五寸,下作蟠螭,口衔灯,燃则鳞甲皆动,焕炳若列星盈盈。””到了唐朝,元宵放灯发展成盛况空前的灯市,京城“作灯轮高二十丈,衣以锦绮,饰以金银,燃五万盏灯,簇之如花树”。这之后,各地花灯活动尤为盛行。 到了现代彩灯蕴涵着丰富的文化底蕴,被广泛地应用于各种店面的装饰。变换无穷的彩灯样式,给城市增添活力,吸引着人们的注意力,深受人民的喜爱。在日常生活中,人们还将彩灯摆放成各种图案,增添美感。随着社会的发展传统的彩灯逐渐被LED彩灯所代替,可以通过单片机编程控制的LED彩灯变换更加丰富多彩。 关键词:LED灯单片机控制系统

温度测量控制系统的设计与制作实验报告(汇编)

北京电子科技学院 课程设计报告 ( 2010 – 2011年度第一学期) 名称:模拟电子技术课程设计 题目:温度测量控制系统的设计与制作 学号: 学生姓名: 指导教师: 成绩: 日期:2010年11月17日

目录 一、电子技术课程设计的目的与要求 (3) 二、课程设计名称及设计要求 (3) 三、总体设计思想 (3) 四、系统框图及简要说明 (4) 五、单元电路设计(原理、芯片、参数计算等) (4) 六、总体电路 (5) 七、仿真结果 (8) 八、实测结果分析 (9) 九、心得体会 (9) 附录I:元器件清单 (11) 附录II:multisim仿真图 (11) 附录III:参考文献 (11)

一、电子技术课程设计的目的与要求 (一)电子技术课程设计的目的 课程设计作为模拟电子技术课程的重要组成部分,目的是使学生进一步理解课程内容,基本掌握电子系统设计和调试的方法,增加集成电路应用知识,培养学生实际动手能力以及分析、解决问题的能力。 按照本专业培养方案要求,在学完专业基础课模拟电子技术课程后,应进行课程设计,其目的是使学生更好地巩固和加深对基础知识的理解,学会设计小型电子系统的方法,独立完成系统设计及调试,增强学生理论联系实际的能力,提高学生电路分析和设计能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 (二)电子技术课程设计的要求 1.教学基本要求 要求学生独立完成选题设计,掌握数字系统设计方法;完成系统的组装及调试工作;在课程设计中要注重培养工程质量意识,按要求写出课程设计报告。 教师应事先准备好课程设计任务书、指导学生查阅有关资料,安排适当的时间进行答疑,帮助学生解决课程设计过程中的问题。 2.能力培养要求 (1)通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 (2)通过实际电路方案的分析比较、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 (3)掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 (4)综合应用课程中学到的理论知识去独立完成一个设计任务。 (5)培养严肃认真的工作作风和严谨的科学态度。 二、课程设计名称及设计要求 (一)课程设计名称 设计题目:温度测量控制系统的设计与制作 (二)课程设计要求 1、设计任务 要求设计制作一个可以测量温度的测量控制系统,测量温度范围:室温0~50℃,测量精度±1℃。 2、技术指标及要求: (1)当温度在室温0℃~50℃之间变化时,系统输出端1相应在0~5V之间变化。 (2)当输出端1电压大于3V时,输出端2为低电平;当输出端1小于2V时,输出端2为高电平。 输出端1电压小于3V并大于2V时,输出端2保持不变。 三、总体设计思想 使用温度传感器完成系统设计中将实现温度信号转化为电压信号这一要求,该器件具有良好的线性和互换性,测量精度高,并具有消除电源波动的特性。因此,我们可以利用它的这些特性,实现从温度到电流的转化;但是,又考虑到温度传感器应用在电路中后,相当于电流源的作用,产生的是电流信号,所以,应用一个接地电阻使电流信号在传输过程中转化为电压信号。接下来应该是对产生电压信号的传输与调整,这里要用到电压跟随器、加减运算电路,这些电路的实现都离不开集成运放对信号进行运算以及电位器对电压调节,所以选用了集成运放LM324和电位器;最后为实现技术指标(当输出端1电压大于3V时,输出端2为低电平;当输出端1小于2V时,输出端2为高电平。输出端1电压小于3V并大于2V时,输出端2保持不变。)中的要求,选用了555定时器LM555CM。 通过以上分析,电路的总体设计思想就明确了,即我们使用温度传感器AD590将温度转化成电压信号,然后通过一系列的集成运放电路,使表示温度的电压放大,从而线性地落在0~5V这个区间里。最后通过一个555设计的电路实现当输出电压在2与3V这两点上实现输出高低电平的变化。

电子设计实验报告

课程设计说明书 题目:超声波传感测距器设计学生姓名: 学院:信息工程学院 班级: 指导教师: 2014年11月20日

目录: 一、摘要……………………………………………………………………… 二、设计背景及应用…………………………………………………………… 三、方案设计框图与论证……………………………………………………… 3.1方案论证及框图…………………………… 四、单元电路设计、参数计算及元器件选择…………………………… 4.1 电路总框图设计…………………………… 4.2 电路单元部分…………………………… 4.2.1超声稳频发射电路…………………………… 4.2.2超声波接受放大电路…………………………… 4.2.3多普勒拍频放大电路…………………………… 4.2.4双脉冲检测输出电路…………………………… 五、仿真及实测中遇到的问题及解决办法……………………… 5.1仿真中遇到的问题……………………… 5.2.实测中遇到的问题……………………… 六、结论与心得 七、参考文献

摘要 在本设计电路中,主要能完超声波传感探测的作用,电路元件主要由NE556,晶振,非门电路,发射器和超声波传感器组成。通过驱动电路促使超声波传感器向空间发射频率为40KHZ的超声波,若探测空间中的物体处于静态平衡,没有发生相应方向的位移时,超声波接受电路接受到反射波,并将40KHZ的超声波转变为40KHZ脉冲电压,再由放大电路线性放大后输出,若探测空间有物体运动,则该运动物体上反射的超声波发生频率偏移,那么前级接受并放大的为两个频率行成的拍频,在经过检波,滤波,将拍频信号取出,一部分放大后驱动发光二极管LED指示检测状况,另一部分送到两级单稳延迟电路,只有两部分电路都为高电平的时候,三极管输出低电平,因此探测空间中每一个动静都会LED显示,被用以防止偶然扰动因素造成的报警。探测的距离可以在0.2~3.5m之间可调,可用车尾行李箱防盗,汽车倒车防撞。 关键词:线性放大,NE556,多普勒拍频,双脉冲检测 Abstract In this design circuit, mainly the ultrasonic sensor to detect the role of, will complete circuit element is mainly composed of NE556, crystals, and not gate circuit, transmitter and ultrasonic sensors. Through driving circuit to make the ultrasonic sensor to the space is 40 KHZ ultrasonic transmission frequency, if detect objects in space in static equilibrium, without the direction of the corresponding displacement occurs, ultrasonic receiving circuit to the reflected wave, and 40 KHZ ultrasonic into 40 KHZ pulse voltage, again by amplifying circuit output after the linear amplification, if detection space motion, then the moving objects in the reflection of the ultrasonic frequency offset, so level before accepting

相关文档
最新文档