计算机组成原理课程设计---校验码生成电路的设计

计算机组成原理课程设计---校验码生成电路的设计
计算机组成原理课程设计---校验码生成电路的设计

计算机组成原理课程设计---校验码生成电路的设计

号:

课程设计

号:

201140410119

教学院计算机学院

课程名称计算机组成原理课程设计

题目校验码生成电路的设计

专业计算机科学与技术

班级计科一班

姓名陈建辉

同组人员周海涛石义沣明廷柱

指导教师杨斐

目录

一概述 (3)

1.课程设计的目的 (3)

2.课程设计的要求 (3)

二总体方案设计 (4)

1.设计原理 (4)

2.整体设计思路 (5)

3. EDA技术及QUARTUS II软件的简介 (5)

4. 主要特点 (8)

5. 具备的功能 (8)

6. 分工情况 (9)

7. 设计环境 (9)

三详细设计 (10)

1. 12位的寄存器设计 (10)

2. 奇偶校验电路的设计 (11)

3. CRC码生成电路的设计 (12)

4. 17位寄存器设计 (14)

5. 整体电路 (15)

四.程序的调试与运行结果说明 (16)

1. 建立工作库文件夹和编辑设计文件 (16)

2. 时序仿真 (17)

3. 设置开始时间和结束时间及时间段 (18)

4. 奇偶校验码波形图 (19)

5. CRC码校验仿真波形图 (19)

6. 整合电路校验码的波形图 (20)

五课程设计总结 (20)

参考文献 (22)

一概述

1.课程设计的目的

1. 理解和掌握该课程中的有关基本概念,程序设计思想和方法。

2.培养综合运用所学知识独立完成课题的能力。

3.培养勇于探索、严谨推理、实事求是、有错必改,用实践来检验理论,全方位考虑问题等科学技术人员应具有的素质。

4.掌握从资料文献、科学实验中获得知识的能力,提高学生从别人经验中找到解决问题的新途径的悟性,初步培养工程意识和创新能力。

2.课程设计的要求

1. 能够熟练掌握计算机中校验码的工作原理及其多种实现方案;

2. 掌握硬件描述语言VHDL及原理图设计方法;

3. 熟练掌握Quartus II软件平台;

4. 各小组按模块分工,每人独立完成自己负责的模块;

5. 合作完成最终的硬件下载及调试;

6. 独立撰写符合要求的课程设计报告。

二总体方案设计

1.设计原理

二进制信息位流沿一条线逐位在部件之间或计算机之间传送称为串行传送。

奇偶校验码是一种通过增加冗余位使得码字中"1"的个数恒为奇数或偶数的编码方法,它是一种检错码。在实际使用时又可分为垂直奇偶校验、水平奇偶校验和水平垂直奇偶校验等几种。它是由k位的信息码加上一位偶检验码(或奇校验码组成)。奇偶校验码常用于存储器读、写检查或ASCII码传送过程中的检查。在实际应用中,多采用奇校验,因为奇校验中不存在全“0”代码,在某些场合下更便于判别。

CRC码一般是指k位信息码之后拼接r位校验码。应用CRC码的关键是如何从k位信息位简便地得到r位效验位,以及如何从k+r位信息码判断是否出错。

奇偶校验码的生成:

奇偶校验码的实现方法是在每个被传送码的左边或右边加上1位奇偶校验位“0”或“1”,若采用奇校验位,只需把每个编码中1的个数凑成奇数;若采用偶校验位,只要把每个编码中1的个数凑成偶数。

CRC码生成:

多项式M(x)·x3除以生成多项式G(x)所得的r位余数为效验位(为了得到

R位余数,G(x)必须是r+1位)。然后将余数拼接在信息组左移r位空出的r 位上,就构成了这个有效信息的CRC码。(因为k=4,所以k-1=3位)

CRC码效验:

将收到的循环效验码用约定的生成多项式G(x)去除,如果码字无误则余数应为0,如果某一位出错,则余数不为0,不同位数出错余数不同。更换不同的待测码字可以证明:余数与出错位的对应关系是不变的,只与码制和生成多项式有关,对于其他码制或选用其他生成多项式,出错模式将发生变化。

如果循环码有一位出错,用G(x)作模2除将得到一个不为0的余数。可通过异或门将它纠正后在下一次移位时送回A16继续移满一个循环,就得到一个纠正后的码字。

2.整体设计思路

1.利用QUARTUS软件设计校验码生成电路,能实现奇偶校验码和循环冗余CRC码的设计。

2.用原理图设计法设计奇偶校验码生成电路。

3.用VHDL设计法设计循环冗余CRC码生成电路。

4.输入12位二进制数据存放在A寄存器中,加码后的数据以二进制显示在发光二级管上。

5.总电路的设计如下:

M1 M2

奇偶校验码生成电路CRC码生成电路

X

LDX

IN

图2-1 总电路示意图

3. EDA技术及QUARTUS II软件的简介

EDA是Electronic Design Automation(电子设计自动化)的缩写。

由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异,所以目前尚无一个确切的定义。但从EDA技术的几个主要方面的内容来看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的

开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。可以实现逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化,逻辑布局布线、逻辑仿真。完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片。EDA技术是伴随着计算机、集成电路、电子系统的设计发展起来的,至今已有30多年的历程。大致可以分为三个发展阶段。20世纪70年代的CAD(计算机辅助设计)阶段:这一阶段的主要特征是利用计算机辅助进行电路原理图编辑,PCB布同布线,使得设计师从传统高度重复繁杂的绘图劳动中解脱出来。20世纪80年代的QtE(计算机辅助工程设计)阶段:这一阶段的主要特征是以逻辑摸拟、定时分析、故障仿真、自动布局布线为核心,重点解决电路设计的功能检测等问题,使设计而能在产品制作之前预知产品的功能与性能。20吐纪如年代是EDA(电子设计自动化)阶段:这一阶段的主要特征是以高级描述语言,系统级仿真和综合技术为特点,采用“自顶向下”的设计理念,将设计前期的许多高层次设计由EDA工具来完成。EDA是电子技术设计自动化,也就是能够帮助人们设计电子电路或系统的软件工具。该工具可以在电子产品的各个设计阶段发挥作用,使设计更复杂的电路和系统成为可能。在原理图设计阶段,可以使用EDA中的仿真工具论证设计的正确性;在芯片设计阶段,可以使用EDA中的芯片设计工具设计制作芯片的版图:在电路板设计阶段,可以使用EDA中电路板设计工具设计多层电路板。特别是支持硬件描述语言的EDA工具的出现,使复杂数字系统设计自动化成为可能,只要用硬件描述语言将数字系统的行为描述正确,就可以进行该数字系统的芯片设计与制造。有专家认为,21世纪将是四A技术的高速发展期,EDA技术将是对21世纪产生重大影响的十大技术之一。

EDA技术的基本特征:EDA代表了当今电子设计技术的最新发展方向.将EDA技术与传统电子设计方法进行比较可以看出,传统的数字系统设计只能在电路板上进行设计,是一种搭积木式的方式,使复杂电路的设计、调试十分困难;如果某一过程存在错误.查找和修改十分不便;对于集成电路设计而言,设计实现过程与具体生产工艺直接相关,因此可移植性差;只有在设计出样机或生产出芯片后才能进行实泅,因而开发产品的周期长。而电子EDA 技术则有很大不同,采用可编程器件,通过设计芯片来实现系统功能。采用硬件描述语言作为设计输入和库(LibraIy)的引入,由设计者定义器件的内部逻辑和管脚,将原来由电路板设计完成的大部分工作故在芯片的设计中进行。由于管脚定义的灵活性,大大减轻了电路图设计和电路板设计的工作量和难度,有效增强了设计的灵活性,提高了工作效率。并且可减少芯片的数量,

缩小系统体积,降低能源消耗,提高了系统的性能和可靠性。能全方位地利用计算机自动设计、仿真和调试。

硬件描述语言:硬件描述语言(HDL)是一种用于进行电子系统硬件设计的计算机高级语言,它采用软件的设计方法来描述电子系统的逻辑功能、电路结构和连接形式。硬件描述语言可以在三个层次上进行电路描述,其层次由高到低分为行为级、R,几级和门电路级。常用硬件描述语言有WDL、Velllq 和AHDL语言。WDL语言是一种高级描述语言,适用于行为级和R,几级的描述;Vedlq语言和ABEL语言属于一种较低级的描述语言,适用于R,几级和门电路级的描述。现在WDL和Velllq作为工业标准硬件描述语言,已得到众多EDA公司的支持,在电子工程领域,它们已成为事实上的通用硬件描述语言,承担几乎全部的数字系统的设计任务。应用Vf进行电子系统设计有以下优点:(1)与其他硬件描述语言相比,WDL具有更强的行为描述能力,强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。(2)VHDL具有丰富的仿真语句和库函数,使得在任何大系统的设计早期就能检查设计系统的功能可行性,并可以随时对系统进行仿真。(3)Vf语句的行为描述能力和程序结构,决定了它具有支持大规模设计的分解和对已有设计的再利用功能。(4)用Vf完成的设计,可以利用EDA 工具进行逻辑综合和优化,并可根据不同的目标芯片自动把Vf描述设计转变成门级网表,这种设计方式极大地减少了电路设计的时间及可能发生的错误,从而降低了开发成本。(5)Vf0L对设计的描述具有相对独立性,可以在设计者不僵硬件结构的情况下,也不必管最终设计的目标器件是什么,而进行独立的设计。(6)由于VI具有类属描述语句和子程序调用等功能,所以对于已完成的设计,可以在不改变源程序的情况厂,只需改变类属参量或函数,就能很容易地改变及计的规模和结构。

EDA技术的应用:电子EDA技术发展迅猛,逐渐在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。在教学方面:几乎所有理工科(特别是电子信息)类的高校都开设了EDA课程。主要是让学生了解EDA的基本原理和基本概念、鳞握用佃L描述系统逻辑的方法、使用扔A工具进行电子电路课程的模拟仿真实验并在作毕业设计时从事简单电子系统的设计,为今后工作打下基础。具有代表性的是全国每两年举办一次大学生电子设计竞赛活动。在科研方面:主要利用电路仿真工具(EwB或PSPICE、VLOL等)进行电路设计与仿真;利用虚拟仪器进行产品调试;将O)LI)/FPGA器件的开发应用到仪器设备中。例如在CDMA无线通信系统中,所有移动手机和无线基站都工作在相同的频谱,为区别不同的呼叫,每个手机有一个唯一的码序列,

CDMA基站必须能判别这些不同观点的码序列才能分辨出不同的传呼进程;这一判别是通过匹配滤波器的输出显示在输人数据流中探调到特定的码序列;FPGA能提供良好的滤波器设计,而且能完成DSP高级数据处理功能,因而FPGA在现代通信领域方面获得广泛应用。在产品设计与制造方面:从高性能的微处理器、数字信号处理器一直到彩电、音响和电子玩具电路等,EDA技术不单是应用于前期的计算机模拟仿真、产品调试,而且也在P哪的制作、电子设备的研制与生产、电路板的焊接、朋比的制作过程等有重要作用。可以说电子EDA技术已经成为电子工业领域不可缺少的技术支持。

QuartusⅡ是Altera公司推出的新一代开发软件,适合于大规模逻辑电路设计。QuartusⅡ软件的前一代FPGA/CPLD集成开发环是MAX+PLUSⅡ。

QuartusⅡ支持多种编辑输入法,包括图形编辑输入法,VHDL、Verilog HDL和AHDL的文本编辑输入法,图形编辑输入法,以及内存编辑输入法。

QuartusⅡ与MATLAB和DSP Builder结合可以进行基于FPGA的DSP 系统开发,是DSP硬件系统实现的关键EDA工具,与SOPC Builder结合,可实现SOPC系统开发。

4. 主要特点

奇偶检验电路只有找错的功能,而没有纠错的功能

根据代码中全部位数相加的“和”来进行奇校验或偶校验。“和”操作的特点:偶数个1,它的和总是0;奇数个1,它的和总是1。

奇偶校验编码方式和检验电路只能测出一位出错或者奇数个位错误,而不能检测偶数个位出错,也无法对出错定位,无法自动校正错误能力。但由于电路简单,仍被广泛用于误码率不高的信息传输和存储器存储检错的场合。循环冗余校验码的特点:可检查出所有奇数位数;可检查出所有双比特的错;可检查出所有小于、等于检验位长度的突发错。

5. 具备的功能

循环冗余码CRC在发送端编码和接收端校验时。都可以利用事先约定的生成多项式G(X)来得到K位要发送的信息位可对应于一个(k-1)次多项式K(X),r位冗余位则对应于一个(r-1)次多项式R(X)由r位冗余位组成的n=k+r位码字则对应于一个(n-1)次多项式T(X)=Xr*K(X)+R(X)。

循环冗余校验码可检查出所有奇数位数;可检查出所有双比特的错;可检查出所有小于、等于检验位长度的突发错。奇偶校验编码方式和检验电路只能测出一位出错或者奇数个位错误,而不能检测偶数个位出错,也无法对

出错定位,无法自动校正错误能力。

6. 分工情况

我们小组由四个人,每个人都分配了不同的任务。其中,我的任务就是把小组中其他几个人做好的电路模块整合成完整的电路图,然后正对总的电路图做出仿真波形,检验电路图是否正确。

7. 设计环境

1. 硬件环境:微机;

2. EDA环境: QuartusⅡ设计软件。

三详细设计

1. 12位的寄存器设计

我们要设计12位信息码的校验码生成电路,首先我们要设计12位的寄存器来存放这12位信息码。

设计步骤:

首先创建一个文件夹,然后在QuartusⅡ软件上新建一个工程并存放在所创建那个文件夹中,再在刚所新建的工程中新建一个VHDL 文件,然后写上生成12位寄存器的VHDL代码,再对生成的12位寄存器进行打包,即可生成12位的寄存器芯片。

12位寄存器的VHDL代码:

library ieee;

use ieee.std_logic_1164.all;

entity jicunqi_12 is

port(datain: in std_logic_vector( 11 downto 0);

load : in std_logic;

q11,q10,q9,q8,q7,q6,q5,q4,q3,q2,q1,q0: out std_logic;

q: out std_logic_vector( 11 downto 0) );

end;

architecture one of jicunqi_12 is

begin

process(datain,load)

begin

if load='1' then q<=datain;

q0<=datain(0);

q1<=datain(1);

q2<=datain(2);

q3<=datain(3);

q4<=datain(4);

q5<=datain(5);

q6<=datain(6);

q7<=datain(7);

q8<=datain(8);

q9<=datain(9);

q10<=datain(10);

q11<=datain(11);

end if;

end process;

end one;

2. 奇偶校验电路的设计

对于奇偶校验电路的设计,我们可以直接根据其校验码的生成原理在QuartusⅡ软件上设计出其电路图。奇偶校验电路是由10个异或门组成。

设计步骤:

首先创建一个文件夹,然后在QuartusⅡ软件上新建一个工程并存放在所创建那个文件夹中,再在刚所新建的工程中新建一个Block Digram File,然后根据其原理画出生成奇偶校验码的电路图,再对生成的奇偶校验码生成电路进行打包,即可生成12位信息码的奇偶校验电路芯片。

奇偶校验码生成电路图:

图3-1 奇

3. CRC码生成电路的设计

CRC码生成电路所有模块中最重要的一部分。我么事通过编写VHDL代码生成器电路图的。

设计步骤:

首先创建一个文件夹,然后在QuartusⅡ软件上新建一个工程并存放在所创建那个文件夹中,再在刚所新建的工程中新建一个VHDL 文件,然后写上CRC码生成电路的VHDL代码,再对生成的CRC码生成电路进行打包,即可生成CRC码生成电路的芯片。

CRC码生成电路的VHDL代码:

LIBRARY ieee;

USE ieee.std_logic_1164.ALL;

USE ieee.std_logic_unsigned.ALL;

USE ieee.std_logic_arith.ALL;

ENTITY crcm IS

PORT (clk, hrecv,datald : IN std_logic;

sdata : IN std_logic_vector(11 DOWNTO 0);

datacrco : OUT std_logic_vector(16 DOWNTO 0);

datacrci : IN std_logic_vector(16 DOWNTO 0);

rdata : OUT std_logic_vector(11 DOWNTO 0);

datafini : OUT std_logic;

ERROR0, hsend : OUT std_logic);

END crcm;

ARCHITECTURE comm OF crcm IS

CONSTANT multi_coef : std_logic_vector(5 DOWNTO 0) := "110101"; -- 多项式系数, MSB一定为'1'

SIGNAL cnt,rcnt : std_logic_vector(4 DOWNTO 0);

SIGNAL dtemp,sdatam,rdtemp : std_logic_vector(11 DOWNTO 0); SIGNAL rdatacrc: std_logic_vector(16 DOWNTO 0);

SIGNAL st,rt : std_logic;

BEGIN

PROCESS(clk)

VARIABLE crcvar : std_logic_vector(5 DOWNTO 0);

BEGIN

IF(clk'event AND clk = '1') THEN

IF(st = '0' AND datald = '1') THEN dtemp <= sdata;

sdatam <= sdata; cnt <= (OTHERS => '0'); hsend <= '0'; st <= '1';

ELSIF(st = '1' AND cnt < 7) THEN cnt <= cnt + 1;

IF(dtemp(11) = '1') THEN crcvar := dtemp(11 DOWNTO 6) XOR multi_coef;

dtemp <= crcvar(4 DOWNTO 0) & dtemp(5 DOWNTO 0) & '0';

ELSE dtemp <= dtemp(10 DOWNTO 0) & '0'; END IF;

ELSIF(st='1' AND cnt=7) THEN datacrco<=sdatam & dtemp(11 DOWNTO 7);

hsend <= '1'; cnt <= cnt + 1;

ELSIF(st='1' AND cnt=8) THEN hsend<= '0'; st<='0';

END IF;

END IF;

END PROCESS;

PROCESS(hrecv,clk)

VARIABLE rcrcvar : std_logic_vector(5 DOWNTO 0);

BEGIN

IF(clk'event AND clk = '1') THEN

IF(rt = '0' AND hrecv = '1') THEN rdtemp <= datacrci(16 DOWNTO 5);

rdatacrc <= datacrci; rcnt <= (OTHERS => '0');

ERROR0 <= '0'; rt <= '1';

ELSIF(rt= '1' AND rcnt < 7) THEN datafini <= '0'; rcnt <= rcnt + 1;

rcrcvar := rdtemp(11 DOWNTO 6) XOR multi_coef;

IF(rdtemp(11) = '1') THEN

rdtemp <= rcrcvar(4 DOWNTO 0) & rdtemp(5 DOWNTO 0) & '0';

ELSE rdtemp <= rdtemp(10 DOWNTO 0) & '0';

END IF;

ELSIF(rt = '1' AND rcnt = 7) THEN datafini <= '1';

rdata <= rdatacrc(16 DOWNTO 5); rt <= '0';

IF(rdatacrc(4 DOWNTO 0) /= rdtemp(11 DOWNTO 7)) THEN

ERROR0 <= '1'; END IF;

END IF;

END IF;

END PROCESS;

END comm;

4. 17位寄存器设计

对于12位信息码所产生的17 位CRC码,我们需要17位的寄存器进行存储。17位寄存器的设计方法是相同的,只需要对VHDL带代码做适当的修改。

5. 整体电路

通过前面几步的设计,我们已经把所有模块都设计完了,现在我们就是把前面设计好电路整起来,组成一个完整的电路。

整合步骤:

首先创建一个文件夹,然后在QuartusⅡ软件上新建一个工程并存放在所创建那个文件夹中,再在刚所新建的工程中新建一个Block Digram File,然后将前面已经做好的模块添加到该文件中,再将它们连起来。即可组成一个完整的电路图。

整合电路图:

图3-2 整合后的电路

四.程序的调试与运行结果说明

总电路设计完成以后,接着一步就是检验检验电路是否正确。我们在

QUARTUS II 软件上依次为每一个模块的电路新建一个时序仿真波

形图,最后再为总电路设计一个时序仿真波形图。具体步骤如下:

1. 建立工作库文件夹和编辑设计文件

为了检验电路设计是否正确,首先由要检验的电路新建一个波形文件,然后再做时序仿真,输入相应数据,检验与预期结果是否一致。

建立工作库文件夹和编辑设计文件:

建波形文件

设置仿真时间

添加输入输出端口

设置输入端口波形

仿真得到输出端口波形

分析输出结果

图4-1 建立工作库文件夹

2. 时序仿真

仿真调试主要验证设计电路逻辑功能、时序的正确性,本设计中主要采用功能仿真方法对设计的电路进行仿真。

功能仿真时,首先建立仿真波形文件,选择仿真信号,对选定的输入信号设置参数,选定的仿真信号和设置的参数。

功能仿真波形结果如图4-4所示。对数据进行比较,可以看出功能仿真结果是正确的,进而说明电路设计的正确性。

新建一个波形文件,仿真时仿真的结果将会存在这个文件中:

图4-2 建立波形文件3. 设置开始时间和结束时间及时间段

图4-3 设置时间

水塔水位控制系统课程设计报告

北京理工大学珠海学院 课程设计 课程设计(C) 学院:信息学院 专业班级: 学号: 学生姓名: 指导教师: 201 年月日 北京理工大学珠海学院

北京理工大学珠海学院 课程设计任务书 2011 ~2012 学年第 1 学期 学生姓名:专业班级:自动化 指导教师:工作部门:信息学院 一、课程设计题目水塔水位控制系统 二、课程设计内容: 1、硬件设计 (1)用80C51设计一个单片机最小控制系统。其中P1.0接水位下限传感器,P1.1接水位上限传感器,P1.2输出经反相器后接光电耦合器,通过继电器控制水泵工作,P1.3输出经反相器后接LED,当出现故障时LED闪烁;P1.4输出经反相器后接蜂鸣器,当出现故障时报警。 (2)用塑料尺、导线等设计一个水塔水位传感器。其中A电级置于水位10CM处,接5V电源的正极,B级置于水位15CM处,经4.7K下拉电阻接单片机的P1.0口,C 电级置于水位的20CM处,经4.7K下拉电阻接单片机的P1.1口。 (3)设计一个单片机至水泵的控制电路。要求单片机与水泵之间用反相器、光电耦合器和继电器控制,计算出LED限流电阻,接好继电器的续流二极管。 2、软件设计 (1)根据功能要求画出控制程序流程图。 (2)根据控制程序流程图编写80C51汇编语言或C51程序。 三、功能要求: 1、水塔水位下降至下限水位时,启动水泵,水塔水位上升至上限水位则关闭水泵。 2、水塔水位在上、下限水位之间时,水泵保持原状态。 3、供水系统出现故障时,自动报警。 四、调试 1、在Kerl-uvision上单步调试,观察累加器寄存器存储器的运行之间是否正常。 2、将程序下载到仿真仪上,进行模拟仿真,检查程序工作是否正常。 3、将模拟水塔、传感器、控制电路和水泵联成一个完整的系统,进行整机调试,观察系统工作是否正常。 撰搞人教研室主任院长 签名 日期2010.10.6

模拟电路课程设计

电子技术课程设计 所属学院:电气信息工程学院 姓名: 学号: 指导老师: 同组成员: 完成时间: 2016年1月16日

目录 (1)设计题目名称 (2)设计任务、技术指标和要求 (3)设计方案选择与论证 (4)总体电路的原理和功能框图(方案比较和说明)(5)功能块及单元电路的设计与主要参数计算,元器件选择和电路参数计算的说明等 (6)全部元器件、型号清单 (7)仿真过程波形和结果 (8)PCB底版布线图及说明(选择) (9)课程设计体会和收获

课程题目:波形发生器 一、设计目的 1.掌握运用模数电知识的应用能力 2.提高自身动手能力与实践能力 二、设计任务、技术指标及要求 1.设计任务 设计制作一台能产生方波、锯齿波、正弦波和三次正弦波的波形发生器。 2.技术指标 ①输出波形频率范围为0.02Hz~20kHz且连续可调; ②正弦波幅值为±10V,失真度小于2%; ③方波幅值为10V; ④锯齿波峰-峰值为20V; ⑤各种波形幅值均连续可调; 3.设计要求 ①设计电路所需的直流电源; ②出集成运放、二极管、电阻、电容、电位器、转换开关等全部元件的清单;

三、设计方案选择与论证 (1)使用NE555芯片构成多谐振荡器,输出方波; (2)从NE555的THR 与THI 端引出信号接上一个比例放大器即可产生锯齿波,同时作为产生正弦波与三次正弦波的输入; (3)让锯齿波通过一个KHz f H 10=的二阶无源低通滤波器,通过滤波产生一次,8KHz 到10KHz 的正弦波; (4)让锯齿波通过一个24KHz~30KHz 的带通滤波器,输出三次正弦波。其中滤出三次谐波的理论依据是,由于锯齿波是一个关于t 的周期函数,并且满足狄利克里条件:在一个周期内具有有限个间断点,且在这些间断点上,函数是有限值;在一个周期内具有有限个极值点绝对可积,则有如下公式(*)成立。称为积分运算()t f 的傅里叶变换 ()()dt e t f w F jwt -∞ ∞ -? = (*) 根据欧拉公式2 cos 000t jw t jw e e t w -+= 就可以将锯齿波中的三次正弦波滤出来。

单片机水位控制系统课程设计

课程设计(论文) 题目名称: 课程名称: 学生姓名: 学号: 学院: 指导教师:

课程设计任务书

目录 摘要 (4) 引言 (5) 1几种方案的比较 (6) 1.1 简单的机械式控制方式 (6) 1.2 复杂控制器控制方案 (6) 1.3通过水位变化上下限的控制方式 (6) 2水塔水位控制原理 (8) 3电路设计 (9) 3.1原件的介绍 (9) 3.2引脚功能 (10) 3.3 水位检测接口电路 (13) 3.4报警接口电路 (14) 3.5 存储器扩展接口电路.................. .. (14) 4系统软件设计 (15) 4.1 流程图 (15) 4.2程序 (16) 5实验仿真 (18) 6结语 (19)

7参考文献 (19) 摘要 随着微电子工业的迅速发展,单片机控制的智能型控制器广泛应用于电子产品中,为了使学生对单片机控制的智能型控制器有较深的了解。经过综合分析选择了由单片机控制的智能型液位控制器作为研究项目,通过训练充分激发学生分析问题、解决问题和综合应用所学知识的潜能。另外,水位控制在高层小区水塔水位控制,污水处理设备和有毒,腐蚀性液体液位控制中也被广泛应用。通过对模型的设计可很好的延伸到具体应用案例中。设计一种基于单片机水塔水位检测控制系统。该系统能实现水位检测、电机故障检测、处理和报警等功能,实现超高、低警戒水位报警,超高警戒水位处理。介绍电路接口原理图,给出相应的软件设计流程图和汇编程序,并用Proteus软件仿真。实验结果表明,该系统具有良好的检测控制功能,可移植性和扩展性强。 关键词:单片机;水位检测;控制系统;仿真

模拟电路课程设计题目

电子技术(模拟电路部分)课程设计题目 一、课程设计要求 1、一个题目允许两个人选择,共同完成电子作品,但课程设计报告必须各自独立完成。 2、课程设计报告按给定的要求完成,要上交电子文档和打印文稿(A4)。 3、设计好的电子作品必须仿真,仿真通过后,经指导老师检查通过后再进行制作。 4、电子作品检查时间:2010年3月4日,检查通过作品需上交。 4、课程设计报告上交时间:2010年5月20日前。 二、课程设计题目 方向一、波形发生器设计 题目1:设计制作一个产生方波-三角波-正弦波函数转换器。 设计任务和要求 ①输出波形频率范围为0.02Hz~20kHz且连续可调; ②正弦波幅值为±2V,; ③方波幅值为2V; ④三角波峰-峰值为2V,占空比可调; ⑤设计电路所需的直流电源可用实验室电源。 题目2:设计制作一个产生正弦波-方波-三角波函数转换器。 设计任务和要求 ①输出波形频率范围为0.02Hz~20kHz且连续可调; ②正弦波幅值为±2V,; ③方波幅值为2V; ④三角波峰-峰值为2V,占空比可调; ⑤设计电路所需的直流电源可用实验室电源。 题目3:设计制作一个产生正弦波-方波-锯齿波函数转换器。 设计任务和要求 ①输出波形频率范围为0.02Hz~20kHz且连续可调; ②正弦波幅值为±2V,; ③方波幅值为2V; ④锯齿波峰-峰值为2V,占空比可调;

⑤设计电路所需的直流电源可用实验室电源。 题目4:设计制作一个方波/三角波/正弦波/锯齿波函数发生器。 设计任务和要求 ①输出波形频率范围为0.02Hz~20kHz且连续可调; ②正弦波幅值为±2V; ③方波幅值为2V,占空比可调; ④三角波峰-峰值为2V; ⑤锯齿波峰-峰值为2V; ⑥设计电路所需的直流电源可用实验室电源。 方向二、集成直流稳压电源设计 题目1:设计制作一串联型连续可调直流稳压正电源电路。 设计任务和要求 ①输出直流电压1.5∽10V可调; ②输出电流I O m=300mA;(有电流扩展功能) ③稳压系数Sr≤0.05; ④具有过流保护功能。 题目2:设计制作一串联型连续可调直流稳压负电源电路。 设计任务和要求 ①输出直流电压1.5∽10V可调; ②输出电流I O m=300mA;(有电流扩展功能) ③稳压系数Sr≤0.05; ④具有过流保护功能。 题目3:设计制作一串联型二路输出直流稳压正电源电路。 设计任务和要求 ①一路输出直流电压12V;另一路输出5-12V连续可调直流稳压电源; ②输出电流I O m=200mA; ③稳压系数Sr≤0.05;

液位控制系统——过程控制课程设计

过程控制课程设计——液位控制系统综合设计 目录 目录 0 1.引言 (1) 2.系统工作原理 (1) 3. 硬件设计部分 (2) 3.1控制回路硬件图 (2) 3.2系统硬件设计 (3) 3.3控制系统的结构组成 (3) 3.4 设备连接 (4) 4.PID控制器程序设计 (4) 4.1 PID原理如下 (4) 4.2 A/D、D/A转换控制环节 (5) 4.3 PID控制程序 (5) 5.设计总结及心得体会 (7) 参考文献 (8)

1.引言 液位控制是工业中常见的过程控制,它对生产的影响不容忽视。单容液位控制系统具有非线性,滞后,耦合等特征,能够很好的模拟工业过程特征。对于液位控制系统,常规的PID控制采用固定的参数,难以保证控制适应系统的参数变化和工作条件变化,得不到理想效果,模糊控制具有对参数变化不敏感和鲁棒性强等特征,但控制精度不太理想。如果将模糊控制和传统的PID控制两者结合,用模糊控制理论来整定PID控制器的比例,积分,微分系统,就能更好的适应控制系统的参数变化和工作条件的变化。 本课程设计所控制的是单容下水箱液位,根据控制系统要求,设计采用过程控制器件液位变送器、电动调节阀以及可编程逻辑控制器组成单回路闭环控制系统。从而熟悉PID算法在过程控制中的应用和闭环回路调节系统的设计方法。 2.系统工作原理 整个液位控制系统采用典型的反馈式闭环控制,液位控制系统原理图如图2.1所示: 图2.1 液位控制系统原理图 图2.1为单回路上水箱液位控制系统,单回路调节系统一般指在一个调节对象上用一个调节器来保持一个参数的恒定,而调节器只接受一个测量信号,其输出也只控制一个执行机构。本系统所要保持的恒定参数是液位的给定高度,即控制的任务是控制上水箱液位等于给定值所要求的高度。根据控制框图,这是一个闭环反馈单回路液位控制,采用工业智能仪表控制。当调节方案确定之后,接下来就是整定调节器的参数,一个单回路系统设计安装就绪之后,控制质量的好坏与控制器参数选择有着很大的关系。合适的控制参数,可以带来满意的控制效果。反之,控制器参数选择得不合适,则会使控制质量变坏,达不到预期效果。因此,当一个单回路系统组成好以后,如何整定好控制器参数是一个很重要的实际问题。一个控制系统设计好以后,系统的投运和参数整定是十分重要的工作。 一般言之,用比例(P)调节器的系统是一个有差系统,比例度δ的大小不仅会影响到余差的大小,而且也与系统的动态性能密切相关。比例积分(PI)调节器,由于积分的作用,不仅能实现系统无余差,而且只要参数δ,Ti调节合理,也能使系统具有良好的动态性能。比例积分微分(PID)调节器是在PI调节器的基础上再引入微分D的作用,从而使系统既无余差存在,又能改善系统的动态性能(快速性、稳定性等)。在单位阶跃作用下,P、PI、PID 调节系统的阶跃响应分别如图3-2中的曲线①、②、③所示。

数字日历电路设计数字电子技术课程设计报告

数字电子技术课程设计报告设计题目:数字日历

班级:计算机1202 数字电子技术课程设计报告 课程设计任务书

I 数字电子技术课程设计报告 要摘 每页显示一日信用于记载日期等相关信息。日历是一种日常使用的出版物,有多每页显示全年信息的叫年历。息的叫日历,每页显示一个月信息的叫月历,种形式,如挂历、座台历、年历卡等,如今又有电子日历。逢年过节,往往会送亲友日历已显亲情友情可日历在现代社会中是很重要在设计日历倒计而纸制日历对森林保护不利,因此设计电子日历意义重大。的。时器时,采用了模块化的思想,使得设计简单、易懂。本设计能进行月、日、星期的的计数,在社会生活中具有实际的应用价值。 关键字:日历

II 数字电子技术课程设计报告 目录 课程设计任务书............................................................................................................. I 摘要........................................................................................................................... II 1.概述 (1) 2.课程设计任务及要求 (1) 2.1 设计任务 (1) 2.2 设计要求 (1) 3.理论设计 (1) 3.1方案论证 (2) 3.2 系统设计 (2) 3.2.1结构框图及说明 (2) 3.2.2系统原理图及工作原理 (3) 3.3 单元电路设计 (5) 3.3.1单元电路工作原理 (5) 3.3.2元件参数选择 (10) 4. 软件仿真................................................................................................................. 11 4.1 仿真电路图 (11) 4.2 仿真过程 (12) 4.3 仿真结果 (12) 5.安装调试................................................................................................................... 13 5.1安装调试过程 (13)

电子技术课程设计题目

电子技术课程设计一、课程设计目的: 1.电子技术课程设计是机电专业学生一个重要实践环节,主要让学生通过自己设计并制作一个实用电子产品,巩固加深并运用在“模拟电子技术”课程中所学的理论知识; 2.经过查资料、选方案、设计电路、撰写设计报告、答辩等,加强在电子技术方面解决实际问题的能力,基本掌握常用模拟电子线路的一般设计方法、设计步骤和设计工具,提高模拟电子线路的设计、制作、调试和测试能力; 3.课程设计是为理论联系实际,培养学生动手能力,提高和培养创新能力,通过熟悉并学会选用电子元器件,为后续课程的学习、毕业设计、毕业后从事生产和科研工作打下基础。 二、课程设计收获: 1.学习电路的基本设计方法;加深对课堂知识的理解和应用。 2.完成指定的设计任务,理论联系实际,实现书本知识到工程实践的过渡; 3.学会设计报告的撰写方法。 三、课程设计教学方式: 以学生独立设计为主,教师指导为辅。 四、课程设计一般方法 1. 淡化分立电路设计,强调集成电路的应用 一个实用的电子系统通常是由多个单元电路组成的,在进行电子系统设计时,既要考虑总体电路的设计,同时还要考虑各个单元电路的选择、设计以及它们之间的相互连接。由于各种通用、专用的模拟、数字集成电路的出现,所以实现一个电子系统时,根据电子系统框图,多数情况下只有少量的电子电路的参数计算,更多的是系统框图中各部分电子电路要正确采用集成电路芯片来实现。 2. 电子系统内容步骤: 总体方案框图---单元电路设计与参数计算---电子元件选择---单元电路之间连接---电路搭接调试---电路修改---绘制总体电路---撰写设计报告(课程设计说明书) (1)总体方案框图: 反映设计电路要求,按一定信息流向,由单元电路组成的合理框图。 比如一个函数发生器电路的框图: (2)单元电路设计与参数计算---电子元件选择: 基本模拟单元电路有:稳压电源电路,信号放大电路,信号产生电路,信号处理 电路(电压比较器,积分电路,微分电路,滤波电路等),集成功放电路等。 基本数字单元电路有:脉冲波形产生与整形电路(包括振荡器,单稳态触发器,施密特触发器),编码器,译码器,数据选择器,数据比较器,计数器,寄存器,存储器等。 为了保证单元电路达到设计要求,必须对某些单元电路进行参数计算和电子元件 选择,比如:放大电路中各个电阻值、放大倍数计算;振荡电路中的电阻、电容、振荡频率、振荡幅值的计算;单稳态触发器中的电阻、电容、输出脉冲宽度的计 算等;单元电路中电子元件的工作电压、电流等容量选择。

电子课程设计-水位测量电路设计要点

郑州轻工业学院 课程设计说明书题目:水位检测电路设计 姓名: 院(系): 专业班级: 学号: 指导教师: 成绩: 时间:2013年06 月03 日至2013 年06 月17 日

郑州轻工业学院 课程设计任务书 题目:水位检测电路设计 专业班级:电子科学与技术10-1班姓名: 学号: 主要内容、基本要求、主要参考资料等: 报警电路在人们的生产生活中有着重要作用。水位检测是自然界和一般工业界不可缺少的一种检测系统。本设计主要实现以下功能。 1.利用LED指示灯显示水位(最低水位、1/4、1/2、3/4、最高水位)。 2.达到最高水位时,自动报警。 参考文献: [1] 张毅.自动检测技术及仪表控制系统. 北京: 化学工业出 社,2004.11 [2] 金伟. 现代检测技术. 北京: 北京邮电大学出版社, 2006.2 [3] 王兆安. 电力电子技术.北京: 机械工业出版社, 2006.5 完成期限:2013.06.03-2013.06.17_ 指导教师签名:张晓冬 课程负责人签名:杨坤 2013年06月01日

目录 1概述 (2) 1.1检测技术 (2) 1.2水位检测技术的应用与发展 (2) 1.3水位检测系统设计的意义 (3) 2系统方案设计 (3) 2.1设计方案 (3) 2.1.1硬件电路图 (3) 2.1.2硬件设计原理 (4) 2.2整流电路的设计 (4) 3元器件的介绍与参数计算 (5) 3.1发光二极管 (5) 3.2电阻 (7) 3.3三极管 (7) 3.4蜂鸣报警器 (7) 3.5整流二极管 (8) 3.6变压器 (8) 4 硬件焊接 (9) 5 AltiumDesigner电路设计 (12) 6结论 (13) 参考文献 (14) 附录元器件清单 (15)

锅炉汽包水位控制系统(过程控制仪表课程设计)

过程控制仪表课程设计 题目锅炉汽包水位控制系统 指导教师高飞燕 班级自动化071 学号 20074460107 学生姓名丁滔滔 2011年1月5号

附录:仪表配接图 (20) 锅炉汽包水位控制系统 1.系统简介: 控制系统一般由以下几部分组成 图1 自动控制系统简易图 锅炉水位系统如下图:

其单位阶跃响应图如下:

图3 蒸汽流量干扰下水位阶跃曲线 通过电容式液位计将检测来的液位信号变送给成标准信号,再输送给控制器,调节器再通过执行机构和阀来控制进水量,从而达到自动控制锅炉水位。 2.锅炉控制系统: 2.1锅炉: 锅炉是火力发电厂中主要设备之一。它的作用是使燃料在炉膛中燃烧放热,井将热量传给工质,以产生一定压力和温度的蒸汽,供汽轮发电机组发电。电厂锅炉与其他行业所用锅炉相比,具有容量大、参数高、结构复杂、自动化程度高等特点。 2.2过热器和再热器: 蒸汽过热器是锅炉的重要组成部分,它的作用是将饱和蒸汽加热成为具有一定温度的过热蒸汽,并要求在锅炉负荷或其他工况变动时,保证过

热气温的波动处在允许范围内。 提高蒸汽初压和初温可提高电厂循环热效率,但蒸汽初温的进一步提高受到金属材料耐热性能的限制。蒸汽初压的提高随可提高循环热效率,但过热蒸汽压力的进一步提高受到汽轮机排气湿度的限制,因此为了提高循环热效率及降低排气湿度,可采用再热器。通常,再热蒸汽压力为过热蒸汽压力的20%左右,再热蒸汽温度与过热蒸汽温度相近。 过热器和再热器内流动的为高温蒸汽,其传热性能差,而且过热器和再热器又位于高烟温区,所以管壁温度较高。如何使过热器和再热器管能长期安全工作是过热器和再热器设计和运行中的重要问题。 在过热器和再热器的设计及运行中,应注意下列问题: ⑴运行中应保持汽温的稳定,汽温波动不应超过±(5~10)℃。 ⑵过热器和再热器要有可靠的调温手段,使运行工况在一定范围内变化时能维持额定的汽温。 ⑶尽量防止和减少平行管子之间的偏差。 2.3省煤器和空气预热器: 省煤器和空气预热器通常布置在锅炉对流烟道的尾部,进入这些受热面的烟气温度已较低,因此常把这两个受热面称为尾部受热面或低温受热面。 省煤器是利用锅炉尾部烟气的热量来加热给水的一种热交换装置。它可以降低排烟温度,提高锅炉效率,节省燃料。在现代大型锅炉中,一般都利用汽轮机抽汽来加热给水,而且随着工质参数的提高,常采用多级给水加热器。 空气预热器不仅能吸收排烟中的热量,降低排烟温度,从而提高锅炉效率;而且由于空气中的预热,改善了燃料的着火条件,强化了燃烧过程,减少了不完全燃烧热损失,这对于燃用难着火的无烟煤及劣质煤尤为重要。使用预热空气,可使炉膛温度提高,强化炉膛辐射热交换,使吸收同样辐射热的水冷壁受热面可以减少。较高温度的预热空气送到制粉系统作为干燥剂,在磨制高水分的劣质煤时更为重要。因此空气预热器也成为现

数字日历电路设计数字电子技术课程设计报告word精品

数字电子技术课程设计报告设计题目:数字日历 班级:计算机1202

设计目的: 1. 进一步熟悉中、小规模数字集成电路的工作原理及使用方法。 2. 掌握小型数字系统的设计、组装与调试方法。 设计内容: 用常用的中、小规模数字集成电路设计一个小型数字系统,自行设计、完成系统的功能。 设计要求: 1. 理论设计部分 ⑴独立完成系统的原理设计。说明系统实现的功能,应达到技术指标,进行方案论证,确定设计方案。 ⑵画出电路图,说明各部分电路的工作原理,初步选定所使用的各种器件的主要参数及型号,列出元器件明细表。 ⑶系统中包含的中、小规模集成电路的种类至少在六种以上。 2. 模拟仿真 ⑴根据理论设计用multisim 10在计算机上进行仿真。验证所设计方案的正确性。⑵分析电路的工作原理,写出仿真报告。 3. 安装调试部分 ⑴实现所设计的小型数字系统,并进行单元测试和系统调试,完成系统功能。 ⑵若系统出现故障,排除系统故障,分析并记录系统产生故障的原因,并将此部分内容写在

报告中。 摘要 日历是一种日常使用的出版物,用于记载日期等相关信息。每页显示一日信息的叫日历,每页显示一个月信息的叫月历,每页显示全年信息的叫年历。有多种形式,如挂历、座台历、年历卡等,如今又有电子日历。 逢年过节,往往会送亲友日历已显亲情友情可日历在现代社会中是很重要的。而纸制日历对森林保护不利,因此设计电子日历意义重大。在设计日历倒计时器时,采用了模块化的思想,使得设计简单、易懂。本设计能进行月、日、星 期的的计数,在社会生活中具有实际的应用价值。 关键字:日历

目录 课程设计任务书.............................................................. 1...摘要........................................................................... II 1. 概述..................................................................... 1.1 2. 课程设计任务及要求...................................................... 1. 2.1设计任务............................................................ 1. 2.2设计要求............................................................ 1. 3理论设计.................................................................. 1.. 3.1方案论证............................................................ 2. 3.2系统设计............................................................ 2. 3.2.1结构框图及说明 (2) 3.2.2系统原理图及工作原理 (3) 3.3单元电路设计........................................................ 5. 3.3.1单元电路工作原理 (5) 3.3.2元件参数选择 (10) 4. 软件仿真 (11) 4.1仿真电路图 (11) 4.2仿真过程 (12) 4.3仿真结果 (12) 5. 安装调试................................................................ .13 5.1安装调试过程....................................................... 1.3 5.2安装调试结果....................................................... 1.4 5.3故障分析........................................................... 1.5 6. 结论 (16) 7. 使用仪器设备清单 (17) 8. 参考文献................................................................ 1.7

基于单片机的水位控制系统设计

单片机原理及系统课程设计 专业:自动化 班级:自动化1201 姓名: 王文玉 学号:201209005 指导教师:苟军年 兰州交通大学自动化与电气工程学院 2014年12月12日

基于单片机的水位控制系统设计 1 引言 单片机课程的学习,不仅要在课本上学到知识,更要在实际中得到锻炼。我认为要学好单片机这门课程,更重要的是要学会通过实践巩固学到的知识,只有把学到的知识通过实践不断体会理解,才能更好的掌握这门课程。本次课程设计我选择制作的题目是基于单片机的水位控制系统的设计,在此次课程设计中主要以水塔供水为例,进行设计介绍。该系统能实现水位检测、电机故障检测、处理和报警等功能,实现超高、低警戒水位报警,超高警戒水位处理。介绍电路接口原理图,给出相应的软件设计流程图和C语言程序,并用Proteus软件仿真。 1.1 设计背景 水位控制系统是现今生活和工业一种比较实用的系统,其应用范围广泛,主要涉及水塔、水库和锅炉水位的控制等领域。以水塔供水为例,供水的主要问题是塔内水位应始终保持在一定范围,避免“空塔”、“溢塔”现象发生。目前,控制水塔水位方法较多,其中较为常用的是由单片机控制实现自动运行,使水塔内水位保持恒定,以保证连续正常地供水。实际供水过程中要确保水位在允许的范围内浮动,应采用电压控制水位,通过实时检测电压,测量水位变化,从而控制电动机工作状态,保证水位在正常范围内。 2 设计方案及原理 2.1通过水位变化上下限的控制方式 这种控制方式通过在水塔的不同高度固定不动的3根金属棒ABC,以感知水位的变化情况。A棒接+5V电源,B棒﹑C棒各通过一个电阻与地相连。利用51单片机为控制核心,设计成一个对供水箱水位能自动进行检测控制的系统。如果水塔水位处于警界低水位状态时,启动水泵,水泵开始正转,开始向水塔供水;如果水塔水位处于正常水位状态时,水泵停止工作,水泵停转;如果水塔水位处于警界高水位状态时,启动水泵,水泵开始反转,开始从水塔排水;供水系统出现故障时,自动报警;故障解除时,水泵恢复正常工作。 2.2水塔水位控制原理 在水塔内的不同高度处,安装固定不变的3根金属棒A、B、C,用以反映水

数字日历电路设计EDA实训

成绩 批阅教师 日期 桂林电子科技大学 实训报告 2016-2017学年第1学期 学院海洋信息工程学院 课程EDA综合实训 姓名钟朝林

学号 1416030218 指导老师覃琴 日期2016/12/29 实训题目:数字日历电路的设计 1 概述 1.1 设计要求 1.1.1 设计任务 设计并制作一台数字日历。 1.1.2 性能指标要求 ①用EDA实训仪的I/O设备和PLD芯片实现数字日历的设计。 ②数字日历能够显示年、月、日、时、分和秒。 ③用EDA实训仪上的8只八段数码管分两屏分别显示年、月、日和时、分、秒,即在一定时间段内显示年、月、日(如20080101),然后在另一时间段内显示时、分、秒(如00123625),两个时间段能自动倒换。 ④数字日历具有复位和校准年、月、日、时、分、秒的按钮,但校年和校时同用一个按钮,即在显示年、月、日时用此按钮校年,在显示时、分、秒时则用此按钮校时,依此类推。 1.2 总体设计基本原理及框图 1.2.1 基本原理 日历主要由年月日模块、时分秒模块、控制模块、显示模块、校时模块组成。采 用3个公用按钮j1、j2、和j3完成时分秒或年月日的校时,用8只七段数码管分 时完成时分秒或年月日的显示。设计电路的计时器模块(jsq24)用于完成一天 的24小时计时;年月日模块接收计时器模块送来的“天”脉冲进行计数,得到 日月年的显示结果,控制模块产生控制信号k,控制数码显示器显示年月日,还 是时分秒,或者自动轮流显示;校时选择模块在k信号的控制下,选择将j1、j2 和j3这3个校时按钮产生的信号是送到计时器模块的校秒、校分和校时输入端, 还是送到年月日模块的校天、校月、校年输入端;显示选择模块在k信号的控制 下,选择是将计时器模块的时、分、秒状态信号,还是将年月日模块的年、月、 日状态信号送到数码管显示器显示。 1.2.2 总体框图

水塔自动上水课程设计

目录 一、设计目的 (1) 二、设计要求 (1) 三、设计方案 (1) 四、设计组成及原理分析 (4) 五、元器件的选用及其参数 (12) 六、设计总结 (12) 七、参考文献 (14)

一、设计目的 本课程设计是在前导验证性认知实验基础上,进行更高层次的命题设计实验,要求学生在教师指导下独立查阅资料、设计、安装和调试特定功能的电子电路。培养学生利用模拟、数字电路知识,解决电子线路中常见实际问题的能力,使学生积累实际电子制作经验,目的在于巩固基础、注重设计、培养技能、追求创新、走向实用。 二、设计要求 1)设计制作一个带保护装置的水塔自动进水逻辑电路。 2)要求有水满、进水、水量不足指示,当水位低时要自动进水,满时要及时断电停水,水位过低时能停止出水。 三、设计方案 1.设计方案分析 每部分电路都有其相应功能:首先有信号产生部分产生整个电路的输入信号,该信号经过信号处理之后,输出其他电路的控制信号,控制其他电路工作,电机控制电路部分接收到有信号处理电路输出的有效控制信号后正常工作驱动电机转动抽水,使水位上升,而水位的变化又直接关系到信号的产生,因此有个循环的过程,即使水位保持在一定范围内,水位显示电路接收到有效信号后驱动显示器工作,使其显示该时刻的水位;水位超限时输出为电机停止的有效控制信号使

上水电路停止工作。由“信号产生→信号处理→电机控制→水位变化→信号产生”这个循环就能使水塔具有自动控制水位的能力。 方案一、 通过NE555接成施密特触发电路,利用v1-v0电压传输特性就可以达到水塔自动进水,不会产生水满而溢出的目的。 自动进水:当水位下降低于A点时,A点悬空。IC的2脚低于1/3Vcc,其3脚输出高电平,水塔被启动,水位逐渐上升。 中间保持:当水位上升到A点到B点之间时,此时P点电位控制在1/2Vcc左右,触发器保持原来的状态不变。因为此时电路不工作,所以水位一直保持在A点与C点之间,不再上升。 停止进水:当水位达到C时,此时输出信号V0变为低电平,致使后续电机上水电路不工作。 以上过程形成循环,在正常情况下一直保持水塔水位大于下限水位。

液位自动控制系统设计与调试

课 程 设 计 2016年6月17日

电气信息学院 课程设计任务书 课题名称液位自动控制系统设计与调试 姓名专业班级学号 指导老师沈细群 课程设计时间2016年6月6日~2016年6月17日(第15~16周) 教研室意见同意开题。审核人:汪超林国汉 一.课程设计的性质与目的 本课程设计是自动化专业教学计划中不可缺少的一个综合性教学环节,是实现理论与实践相结合的重要手段。它的主要目的是培养学生综合运用本课程所学知识和技能去分析和解决本课程范围内的一般工程技术问题,建立正确的设计思想,掌握工程设计的一般程序和方法。通过课程设计使学生得到工程知识和工程技能的综合训练,获得应用本课程的知识和技术去解决工程实际问题的能力。 二. 课程设计的内容 1.根据控制对象的用途、基本结构、运动形式、工艺过程、工作环境和控制要求,确定控制方案。 2.绘制水箱液位系统的PLC I/O接线图和梯形图,写出指令程序清单。 3.选择电器元件,列出电器元件明细表。 4.上机调试程序。 5.编写设计说明书。 三. 课程设计的要求 1.所选控制方案应合理,所设计的控制系统应能够满足控制对象的工艺要求,并且技术先进,安全可靠,操作方便。 2.所绘制的设计图纸符合国家标准局颁布的GB4728-84《电气图用图形符号》、GB6988-87《电气制图》和GB7159-87《电气技术中的文字符号制定通则》的有关规定。 3.所编写的设计说明书应语句通顺,用词准确,层次清楚,条理分明,重点突出,篇幅不少于7000字。

四.进度安排 1.第一周星期一:布置课程设计任务,讲解设计思路和要求,查阅设计资料。 2.第一周星期二~星期四:详细了解搬运机械手的基本组成结构、工艺过程和控制要求。确定控制方案。配置电器元件,选择PLC型号。绘制传送带A、B的拖动电机的控制线路原理图和搬运机械手控制系统的PLC I/O接线图。设计PLC梯形图程序,列出指令程序清单。 3.第一周星期五:上机调试程序。 4.第二周星期一:指导编写设计说明书。 5.第二周星期二~星期四:编写设计说明书。 6.第二周星期五:答辩。 附录:课题简介及控制要求 (1)课题简介 某化工厂水箱的排水量根据工业生产的需要而不断地变化,为了保持水箱压力恒定,就要保持水位恒定,因此就必须自动调整进水量。 本系统要求有手动和自动两种工作方式。手动控制方式用于水泵的调试,即当按下按钮时水泵运转,松开按钮时水泵停止,目的是为了调试水泵是否能正常工作;当系统切换为自动控制方式并启动后,控制系统自动调整水泵的进水量达到给定水位恒定。水位设定高限和低限,当水位超过设定的限位时要进行超限报警。 (2)控制要求 控制系统技术参数表

课程设计(数字日历钟表的设计)

课程设计说明书(论文) 课程名称:课程设计1 设计题目:数字日历钟表的设计 院系: 班级: 设计者: 学号: 设计时间:2013-6-19

哈尔滨工业大学 哈尔滨工业大学课程设计任务书 姓名:院(系): 专业:班号: 任务起至日期:2013 年 5 月日至2013 年 6 月19 日 课程设计题目:数字日历钟的设计 已知技术参数和设计要求: 1.数码管显示:秒、分、时(可同时显示,也可轮换显示) 2.能够设置时间,“设置按键”数量不限,以简单合理易用为好。 3.误差:1 秒/天(报告中要论述分析是否满足要求) 扩展(优秀必作) 1.设置校准键:当数字钟显示在“整点±30 秒”范围时,按动“校准键”,数字钟即刻被调整到整点,消除了±30 秒的误差。 2.加上“星期”显示(可以预置),并可以对其进行设置。 其他要求: 1.按动员老师的要求、课程设计报告规范进行设计 2.不允许使用时数字钟表、日历专用IC 电路。 3.可以使用通用器件:模拟、数字、单片机、EPLD、模块电路等。 4.设计方法不限。

工作量: 1. 查找资料 2. 设计论证方案 3. 具体各个电路选择、元器件选择和数值计算 4. 具体说明各部分电路图的工作原理 5. 绘制电路原理图 6. 绘制印刷电路图 7. 元器件列表 8. 编写调试操作 9. 打印论文 工作计划安排: 1. 查阅资料: 2. 方案论证 3. 设计、分析、计算、模拟调试、仿真、设计原理 4. 撰写报告:课程设计要求、方案论证、原理论述(原理框图、原理图)、分析、计算、仿真, PCB 图的设计,误差分析、总结,参考文献等 5. 上交课程设计论文2013-6-19 同组设计者及分工:

电子技术基础课程设计题目

《电子技术基础》课程设计题目 一、脚步声控制照明灯 要求:1.白天光线较强,照明灯不会点亮; 2.晚上又脚步声照明灯被点亮,脚步声小时后灯亮延时十秒再自动熄灭; 3.元件:功率集成电路家分立元件; 二、报警声响发生器 要求:1.能发出消防车报警,救护车报警灯的报警声; 2.输出功率≥1W 要求:1.当池中水位低于设定点时水泵自动抽水;; 3.元件:NE555时基电路加分立元件; 三、水位控制器 2.当水位到达设定点时水泵自动停止; 3.元件:NE555电路加分立元件; 4.说明:水泵工作可用灯泡亮灭进行模拟; 四、金属探测器; 要求:1.能探测木材中≥5mm深处的残留铁钉; 2.当探测到金属物时能用声或光报警; 3.元件:与非们加分立元件,探头可用带铁芯线圈自制; 五、循环灯 要求:1.有四路输出,单循环; 2.能带动6V小灯泡四只; 3.元件:J-K触发器、555时基电路、分立元件; 六、数字水位探测器 要求:1.能测出水位的高度,精度韦1/16; 2.能输出数字形式(即二进制); 3.能以模拟电压输出; 七、直流电压升压器 要求:1.输入电压30V;输出电压45V; 2.输出电流能达到0.5A; 八、上下课铃声识别系统 要求:1.设计一个开关电路仅对学校的上课、下课铃声敏感; 2.铃声来时输出高电平; 3.能识别出上课铃声和下课铃声; 九、厕所冲水控制器 要求:1.能识别有无人进出厕所; 2.当进出人数每达6人次时,电路输出一个脉冲; 十、步进电机及启动电路 要求:1.利用数电知识设计一个步进电机驱动电路; 2.能由两根线的输入电平组合使电机能向前进、后退、保持; 十一.教室用电节能控制电路

水位自动控制电路

**大学信息学院 数字电路课程设计报告 题目:水位自动控制电路 专业、班级:电子信息科学与技术 学生姓名: 学号: 指导教师:

指导教师评语: 成绩: 教师签名:

一.任务书 二.目录 目录 1 设计目的 (4) 2 设计目的要求 (4) 3 设计方案选取与论证 (4) 4 仿真过程及结果 (5) 1 设计思路 (6) 2 现有设计方案 (6) 3 总体设计框图 (7) 5 结论故障分析及解决 (14) 6 参考文献 (15) 附录 (16)

三.内容 1. 设计目的 通过这次设计熟练对电子设计的动手技能,,提高电子设计的能力,同时也培养学生收集、整理、分析和刷选利用资料及各类信息的能力,也使得学生通过这次的设计对所学的数电和模电知识及各种电路、电路元件的功能更好的理解和运用。 2. 设计任务要求 功能:1、当水位低于最低点时,电路能自动加水。 2、当高于最高点时,电路能自动停水。 3、该电路的直流电源自行设计。(可采用W78××系列) 要求:1、选择适当的元器件,设计该电路。以实现上述功能。 2、利用Proteus绘制其电路原理图并进行仿真。 3. 设计方案选取与论证 3.1设计方案的选取: (1)继电器式自动上水控制装置 继电器式水位控制装置工作原理是通过接入220V继电器控制电路的3个探测电极来检测水位高低,使继电器闭合或开启,控制水泵电动机的开停,达到控制水位的目的,控制电路较简单,但要注意以下几点: 1)在维修水塔中的水位探测电极时,须断开主回路和控制回路电源开 来使N线带电,造成维修人员的触电危险。 2)在水塔的低水位探测电极C的引线端,必须进行N线的重复接地。接地电阻要求小于4Ω,使C点水位探测电极保持良好的零电位,以利于继电器的可靠吸合,使自控电路运行稳定。 3)在水泵向水塔供水时,由于水流的冲击,使水塔内的水位波动起伏,容易导致继电器吸合、断开的频繁跳动,影响自控电路的正常稳定运行。

简易水位控制器

University of South China 电子技术课程设计说明书设计题目:简易水位控制器 专业:电气工程及其自动化 年级:08级 学号: 姓名: 指导教师: 2011年 1 月13日

南华大学电气工程学院 《电子技术课程设计》任务书设计题目:简易水位控制器 专业:电气工程及其自动化

电子技术课程设计任务书 一.课程设计的内容和要求(包括原始数据、技术要求、工作要求等): 简易水位控制器设计 1、简便水塔水位控制器具有四个水位检测输入,由低到高分别为H1、H 2、H 3、H4;380V交流驱动功率为10KW的水泵电动机分别为M1、M2;控制器根据水位状态控制水泵工作。 2、控制要求 (1)在各水位检测点,应能准确可靠地检测出水位状态,报选用的传感器要求不受长期水泡工作环境影响; (2)当水位低于H1时,M1与M2同时工作;当水位高于H4时,M1与M2同时停机; (3)当水位由H1上升到H3时,关掉M1; (4)当水位由H4上升到H2时,打开M1; 3、备用泵控制要求 当两台工作水泵任一台发生故障时,应能检测出故障,并使备用水泵投入工作,备用水泵投入后,对故障水泵有相应指示。 4、主电路及控制电路设计

二.对课程设计成果的要求〔包括图表、实物等硬件要求〕: 设计电路(主电路及控制电路),安装调试或仿真,分析实验结果,并写出设计说明书,语言流畅简洁,文字不得少于3500字。要求图纸布局合理,符合工程要求,使用Protel软件绘出原理图(SCH)和印制电路板(PCB),器件的选择要有计算依据。 三.主要参考文献: [1]谢自美。电子线路设计、实验、测试[M]华中理工大学,2001 [2] 彭介华. 电子技术课程设计指导[M]. 北京:高等教育出版社,1997 [3] 康华光,数字电子技术,北京:高等教育出版社,1998 [4] 陈明义. 电工电子技术课程设计指导[M]. 长沙:中南大学出版社,2002 [5]电机拖动

13级《模拟电路课程设计》设计课题与要求

13级《模拟电路课程设计》设计课题与要求 一、设计课题 设计课题1、直流稳压电源 (输入电压为220V,50Hz市电,输出为直流稳定电压)。 A:分立元件方式 技术要求:额定输出电压:12v,10-14v连续可调;额定输出电流1.5A;。 输出电阻不大于0.5Ω; 满载纹波峰峰值小于60mv; 稳压系数Sv≤3×10-3; 主要测量内容:最大输出电流,输出电阻,纹波峰峰值,稳压系数,电压调整率。 B:集成稳压方式(不可使用可调三端器件) 技术要求:额定输出电流2A; 额定输出电压:12V,10-14v连续可调; 保护电路(过热、过流、过压); 满载纹波峰峰值小于60mv; 输出电阻不大于0.5Ω; 稳压系数Sv≤3×10-3; 主要测量内容:最大输出电流,输出电阻,纹波峰峰值,稳压系数,电压调整率。 设计课题2、音响放大器(简单音频通带放大电路)(输入语音信号-麦克风)注:功放电路原则上不使用功放集成电路。 技术要求:前置放大、功放:输入灵敏度不大于10mV,f L≤500Hz,f H≥20kHz; 有音量控制功能; 额定输出功率P O≥5W(测试频率:1kHz); 负载:扬声器(8Ω、5W)。 主要测量内容:最大输出功率,输出电阻,输入灵敏度,f L,f H。 设计课题3、信号发生器 技术要求:产生三种波型(方波,三角波,正弦波) 频率范围:0~100KHz; 输出内阻:不大于50Ω; 负载50Ω时输出电压不小于5V; (加功放时可使用集成功放电路1W) 主要测量内容:输出信号频率范围,输出电阻,输出功率。 二、要求 1、每位同学至少完成一个设计课题的原理图和参数设计、Multisim软件仿真与作品Protel 电路板制作,最终完成产品制作以及调试,提交一份课题的设计与测试报告(包括电子版和打印件),课题设计与设计报告的主要内容包括电路图、设计与计算过程、测试数据与分析等。 2、有能力的同学可以完成多个设计课题。 3、依据作品现场测试的指标评定课程成绩。

相关文档
最新文档