北航计算机组成原理-实验报告

北航计算机组成原理-实验报告
北航计算机组成原理-实验报告

北京航空航天大学计算机学院

计算机组成原理课程设计

实验报告

姓名:******

学号:*******

时间:2011年7月20日

目录

第一章MIPS部件基础实验 (1)

实验1-1 存储单元 (1)

预习题 (1)

思考题 (6)

实验1-2 运算单元 (9)

预习题 (9)

思考题 (14)

实验1-3 协处理器 (23)

实验1-4 其它部件 (26)

第二章MIPS处理器综合实验 (31)

实验2-1 指令系统 (31)

思考题 (31)

实验2-2 数据通路 (38)

预习题 (38)

思考题 (39)

实验2-3 多周期控制器设计 (43)

预习题 (43)

思考题 (72)

实验2-4 多周期处理器设计 (75)

预习题 (75)

思考题 (80)

第三章MIPS高级设计实验 (86)

实验3-1 MIPS指令扩展处理器设计 (86)

预习题 (86)

思考题 (92)

第一章MIPS部件基础实验

实验1-1 存储单元

预习题

(1)对照实验原理中只读存储器ROM的电路结构,简要说明其工作原理。

答:根据inclock的时钟信号,在inclock上升沿阶段,address[5..0]为读入的6位地址,译码得到该数据的地址,q[23..0]为输出的数据。

(2)如何在图形编辑窗口中设计LPM_ROM存储器?怎样设计地址宽度和数据线的宽度?

怎样导入和存储LPM_ROM的设计参数文件?

答:打开QuartusII,选菜单File-New,在弹出的New对话框中选择Device Design Files 页的原理图文件编辑输入项Block Diagram/Schematic File,按OK按钮后将打开原理图编辑窗口。在编辑窗口中任意空白处双击,可出现输入元件对话框,在Name一栏中输入lpm_rom,此元件便出现在显示窗口中,点OK,可进入元件定制对话框。设置参数值,将地址总线宽度address[]和数据总线宽度q[]分别设置为6位和24位。可通过.mif 文件进行参数设计和编辑。

设计好相应的mif文件如下图所示。

设置地址宽度和数据宽度,如下图所示。

导入设计好的mif文件,如下图所示。

(3)在对LPM-ROM的设计进行软件仿真测试时,应该如何设计仿真波形的输入信号的?

结合实际的仿真结果说明LPM_ROM的功能在仿真波形中是如何体现的?

答:创建波形文件时,单击每一个输入数据进行设置,将inclock设计为以一定时间为周期翻转的时钟信号,address为以一定频率改变的6为数据。从结果来看,随着6位地址数据的改变,24位输出数据线数据也随之改变,体现了LPM_ROM的功能。

(4)请设计在实验台上对LPM-ROM进行测试的方法?

答:先在QuartusII中锁定各项输入输出的引脚,addr[5..0]由键1,2控制,时钟inclock 由键8控制,数码管8-3显示ROM中的数据输出,下载到实验台上,按下相关控制键,可进行仿真操作。

(5)总结原理图输入法设计硬件的主要步骤,以及每个步骤的主要目的。

答:

(1)建立本项目工程设计文件夹。目的:任何一项设计都是一项工程,都必须首先为此工程建立一个放置与此工程相关的所有设计文件的文件夹。不同的设计项目最好放在

不同的文件夹中,而同一工程的所有文件都必须放在同一文件夹中。放于同一个文件夹中便于管理。

(2)MIF格式文件的建立。目的:初始化ROM的数据。

(3)原理图输入设计项目。目的:设计选择符合要求的元件,连接元件,以达到所需电路的要求。

(4)创建工程。目的:将设计文件加入工程中。

(5)全程编译。目的:检查设计是否有错误,数据网表文件提取、逻辑综合、适配、装配文件生成及基于目标器件的工程时序分析等。

(6)时序仿真。目的:观察仿真结果,验证硬件设计的正确性。

(7)锁定引脚。目的:将输入输出的引脚与实验台锁定。

(8)硬件下载。目的:将设计好的程序下载到实验台上。

(6)试着简要说明读写存储器RAM工作原理。

答:RAM为随机存取存储器,可以随机从任意一个指定的地址读取数据,也可以将数据写入任意的一个指定的存储单元中。

(7)在对读写存储器RAM的设计进行软件仿真测试时,应该如何设计仿真波形的输入信号

的?结合实际的仿真结果说明读写存储器RAM的功能在仿真波形中是如何体现的?

答:

时钟信号以一定周期翻转,当遇到上升沿时,且使能信号为1,RAM开始工作读写信号为高电平时执行写入功能,低电平是执行读出功能。将地址addr信号以一定的频率改变,当读写信号为高电平即写入信号时,从addrin读取数据,存入RAM所指存储单元中;当读写信号为低电平即读出信号时,从RAM读出RAM所指存储单元的数据,存入addrout中。以上体现了RAM的功能。

(8)总结Verilog文本输入法设计硬件的主要步骤,以及每个步骤的主要目的。

答:

(1)建立本项目工程设计文件夹。目的:同一工程下的所有文件放在一起便于使用语管理。

(2)输入项目设计。目的:编写相关程序代码并保存。

(3)创建工程。目的:将设计程序加入工程中。

(4)全程编译。目的:检查设计是否有错误,数据网表文件提取、逻辑综合、适配、装配文件生成及基于目标器件的工程时序分析等。

(5)时序仿真。目的:观察仿真结果,验证硬件设计的正确性。

(6)锁定引脚。目的:将输入输出的引脚与实验台锁定。

(7)硬件下载。目的:将设计好的程序下载到实验台上。

(9)预先设计好并绘出32位MIPS的RAM、IR、RegFile等的仿真波形输入及理论输出,

结合波形说明其功能及工作原理。

答:以MIPS_RAM为例。

工作原理:该RAM共有四个工作模式,模式控制信号BE为1111时,读写32位;模式控制信号为0111时,读写低16位,高16位置0;模式控制信号为0011时,读写低8位,高24位置0;模式控制信号为其他信号时,32位全置为0。

(10)预先设计好并绘出先进先出存储电路FIFO的仿真波形输入及理论输出,结合波形说明

其功能及工作原理。

答:

FIFO为先进先出存储电路。即当给定写入信号时,按一定顺序写入一部分数据,当给定读出信号时,按照先进先出的原则读出已经写入的数据。

思考题

(1)MIPS RAM存储器在CPU中是如何与其它部件协同工作的。请结合其功能详细分析。

答:RAM为存储器,可写入或读出制定地址的数据,地址的指定可由其他寄存器的某些位数通过译码来指定,写入的数据可由其他寄存器的某些位或地址来确定,数据读出后可存放于其他寄存器。

(2)记录下MIPS RAM的仿真结果,并与预习时的理论仿真波形对照,是否一致?结合仿

真结果说明电路的工作过程。

答:

仿真结果与预期的结果一致,模式控制信号为1111时,从DataIn读入32位数据保存并输出到DataOut;模式控制信号为0111时,从DataIn读入低16位数据,高16位置0,保存并输出到DataOut;模式控制信号为0011时,从DataIn读入低8位数据,高24位置0,保存并输出到DataOut;模式控制信号为其他信号时,RAM全部置0,输出32’b0到DataOut。

(3)MIPS IR在CPU中是如何与其它部件协同工作的。请结合其功能详细分析。

答:该IR为32位的指令寄存器,用来保存当前正在执行的一条指令。当执行一条指令时,先把它从内存取到IR中,然后再通过IR输出到后续各个部件。

(4)记录下MIPS IR的仿真结果,并与预习时的理论仿真波形对照,是否一致?结合仿真

结果说明电路的工作过程。

答:

实际仿真结果与理论仿真结果完全一致。CPU工作时,控制器的在第一个周期令IRWrite 写使能有效,IR寄存器写入来自存储器的指令。Clk是CPU时钟信号,IR的写入由IRWrite信号控制。IR[31:0]是IR寄存器的输出,即控制器的指令来源。

(5)MIPS RegFile在CPU中是如何与其它部件协同工作的。请结合其功能详细分析。

答:该32位的寄存器堆由32个32位的寄存器组成,主要实现2个功能,一是读寄存器,即将寄存器堆的数据读出,二是写寄存器,即将输入的32位数据写入到特定的寄存器。

(6)记录下MIPS RegFile的仿真结果,并与预习时的理论仿真波形对照,是否一致?结合

仿真结果说明电路的工作过程。

答:

实际结果与理论预测符合。Clk是CPU时钟信号,RS1来自指令的第[25:21]位,RS2来自指令的第[20:16]位,分别代表一个寄存器的编号,RD是要写入的寄存器的标号,WData 是来自于外部的32位数据。RegWrite信号有效后,将WData的12345678和9ABCDEF0分别写入RD选中的6号和8号寄存器,RData1,RData2分别将写入的数据读出。

(7)LPM_FIFO在CPU设计中有何作用?当LPM_FIFO“空”、“未满”、“满”时,full、empty

和usedw[7..0]的输出信号如何变化?

答:FIFO是一种先进先出存储电路,可实现队列存储结构,用来存储、缓冲在两个异步时钟之间的数据传输。使用异步FIFO可以在两个不同时钟系统之间快速而方便的实时传输数据。

空:full位为0,empty位为1,usedw[7..0]为7’d0。

未满:full位为0,empty位为0,usedw[7..0]为占用空间的值。

满:full位为1,empty位为0,uesdw[7..0]为7’d1。

(8)记录下LPM_FIFO的仿真结果,并与预习时的理论仿真波形对照,是否一致?结合图

说明电路的工作过程。

答:

仿真结果与预期相同,U为当前已使用地址数指示,依次增加,写入时,WR信号为1,将D中的信号从00开始依次写入。读出时,RD信号为1,将写入的信号从00开始依

次读取到Q中。

实验1-2 运算单元

预习题

(1)对照2.2节中乘法运算器的电路结构,简要说明其工作原理。

答:MUL_start为1时,启动运算,进行MUL_DA与MUL_DB的乘法运算,运算结果保存在64位的result中;MUL_Write为写使能,MUL_SELHL控制选择HI或LO寄存器;运算结束后HI中显示result的高32位数字,LO显示低32位数字。

(2)在对乘法运算器的设计进行软件仿真测试时,应该如何设计仿真波形的输入信号的?结

合实际的仿真结果说明乘法器的功能在仿真波形中是如何体现的?

答:

仿真波形如上图,乘法运算器的两个输入端分别为6和3,开始时Reset置为1,系统清0;Reset置为0且MUL_Start置为1时,运算开始,当MUL_SelMD置为0时进行的是乘法运算,得到result为18,finish2置为1表示运算结束,根据MUL_SelHL选择的是输出低32位得到最终的结果MUL_DC为18;Reset置为1且MUL_Start置为1时,且MUL_SelMD置为1时进行的是除法运算。

(3)对照2.3节中算术逻辑运算器的电路结构、数据传送通路和ALU 的功能特性,简要说

明其工作原理。

答:参加运算的两个8位数据分别为A[7..0]和B[7..0],运算模式由S[3..0]的16种组合决定,而S[3..0]的值由4位2进制计数器LPM_COUNTER产生,计数时钟是Sclk;此外,设M=0,选择算术运算,M=1为逻辑运算,CN为低位的进位位;F[7..0]为输出结果,CO为运算后的输出进位位。两个8位数据由总线IN[7..0]分别通过两个电平锁存

器74373锁入。

(4)按理论分析值填写好表2.2、表2.3 和表2.4。

答:无表格可填。

(5)比较实验数据的理论分析值与实验结果值;并对结果进行分析。实验结果与理论分析值

比较,有没有不同?为什么?

答:实验结果与分析值一致。

(6)在对算术逻辑运算器的设计进行软件仿真测试时,应该如何设计仿真波形的输入信号的?

结合实际的仿真结果说明算术逻辑运算器的功能在仿真波形中是如何体现的?

答:应该按照表1.20给定的条件来设计仿真波形的输入信号,尽量设计到每项功能的输入信号。在波形图中,输入信号S需要从0000到1111取遍了所有的功能值,相应的CN与M也取遍了所有的情况,并且输入也有变化,这样得出的结果每种功能与理论分析一致,说明了算术逻辑运算器的功能得到了实现。

(7)用Verilog实现输入暂存器74373B的功能,及模式选择计数器LPM_COUNTER的功能,

并给出源程序。

答:

74373B的源程序如下:

module _74373B(OEN,D,G,Q);

input OEN,G;

input [8:1] D;

output reg [8:1] Q;

always@(D)

begin

if(OEN) Q=’bzzzzzzzz;

else if(G) Q=D;

end

endmodule

LPM_COUNTER的源程序如下:

module LPM_COUNTER(Sclk,q);

input Sclk;

output[3..0] q

reg[3..0] q;

always@(posedge Sclk) q=q+1;

endmodule

(8)预习实验2-1内容,设计好并绘出32位除法运算器的仿真波形输入及理论输出,结合

波形说明其功能及工作原理。

答:

(9)复习Verilog HDL语言的相关语法,并在课前根据编写好实验2-1中的程序。给出源程

序。

答:

`define mul_mul 2'b00

`define mul_div 2'b01

`define mul_sel_high 'b1

`define mul_sel_low 'b0

module

MIPS_Mul_Div(mul_flag,reset,mul_da,mul_db,clk,mul_dc,mul_selhl,mul_start,mul_selmd, mul_write);

input clk;

input reset;

input mul_start;

input mul_selhl;

input [1:0] mul_selmd;//if=1,div;

input mul_write;

output mul_flag;

input[31:0] mul_db;

input[31:0] mul_da;

output[31:0] mul_dc;

reg[31:0] hi;

reg[31:0] lo;

reg working;

reg[63:0] result;

reg finish;

reg finish2;

function[63:0] result_mul;

input[31:0] a,b;

begin

result_mul=a*b;

end

endfunction

function[63:0] result_div;

input[31:0] a,b;

begin

result_div[31:0]=a/b;

result_div[62:32]=a%b;

end

endfunction

assign mul_flag=finish;

assign mul_dc=finish?((mul_selhl==`mul_sel_high)?hi:lo):32'b0;

always@(posedge clk)

begin

if(reset)

begin

working<=1'b0;

finish<=1'b0;

end

else if(mul_start)

begin

finish<=1'b0;

working<=1'b1;

if(mul_selmd==`mul_mul)

result<=result_mul(mul_da,mul_db);

else if(mul_selmd==`mul_div)

result<=result_div(mul_da,mul_db);

finish<=1'b1;

working<=1'b0;

end

end

always@(posedge clk)

begin

if(reset)

begin

hi<=32'b0;

lo<=32'b0;

end

else if(mul_write)

begin

if(mul_selhl==`mul_sel_high)

hi<=mul_db;

else

lo<=mul_db;

end

else if(finish)

begin

hi<=result[63:32];

lo<=result[31:0];

end

end

endmodule

(10)预习实验2-2内容,设计好并绘出带进位算术逻辑运算单元的仿真波形输入及理论输出,

结合波形说明其功能及工作原理。

答:

M=0为算数运算,M=1为逻辑运算。CO为向高位的进位,CN是来自低位的进位。Sclk 每次上升沿到来,会改变S[3..0](即逻辑运算功能)。在A0_B1的控制下IN[7..0]的数据交替地放入A[7..0]和B[7..0]两个锁存器中。F输出A与B在功能S下的运算结果。

(11)按理论分析值填写好表2.6。

答:无表可填。

(12)预习实验2-3内容,设计好并绘出移位运算器的仿真波形输入及理论输出,结合波形说

明其功能及工作原理。

答:

仿真波形如上图。SHT_DA是操作数,SHT_DB是位移量,SHT_Func是移位功能选择,00表示无操作,01表示逻辑左移,10表示逻辑右移,11表示算数右移。算数右移的时候,补符号位。逻辑移位补0。

思考题

(1)32位乘法运算器在CPU中是如何与其它部件协同工作的。请结合其功能详细分析。

答:当ALU作除法运算时,将ALU_SelMD置为1,通过控制信号把内部总线的数据传送给DA,DB,进行除法运算DA/DB,将结果保存在寄存器result中,最后用hi保存高位,lo保存低位,将结果输出到DC中。

(2)给出你编写的源程序。

答:

`define MUL_MUL 'b0

`define MUL_DIV 'b1

`define MUL_SEL_HIGH 'b1

`define MUL_SEL_HOW 'b0

module

Cal_Mul_Div(MUL_Flag,Reset,MUL_DA,MUL_DB,Clk,MUL_DC,MUL_SelHL,MUL_Sta rt,MUL_SelMD,MUL_Write);

input Clk;

input Reset;

input MUL_Start;

input MUL_SelHL;

input MUL_SelMD;

input MUL_Write;

output MUL_Flag;

input[31:0] MUL_DB;

input[31:0] MUL_DA;

output[31:0] MUL_DC;

reg[31:0] hi;

reg[31:0] lo;

reg working;

reg[63:0] result;

reg finish;

reg finish2;

function[63:0] result_mul;

input[31:0] a,b;

begin

result_mul=a*b;

end

endfunction

function[63:0] result_div;

input[31:0] a,b;

begin

result_div[31:0]=a/b;

result_div[62:32]=a%b;

end

endfunction

assign MUL_Flag=finish;

assign MUL_DC=finish?((MUL_SelHL==`MUL_SEL_HIGH)?hi:lo):32'b0;

always@(posedge Clk)

begin

if(Reset)

begin

working<=1'b0;

finish<=1'b0;

end

else if(MUL_Start)

begin

finish<=1'b0;

working<=1'b1;

end

else if(finish2)

begin

finish<=1'b1;

working<=1'b0;

end

end

always@(posedge working)

begin

finish2<=1'b0;

if(MUL_SelMD==`MUL_MUL)

result<=result_mul(MUL_DA,MUL_DB);

else

result<=result_div(MUL_DA,MUL_DB);

finish2<=1'b1;

end

always@(posedge Clk)

begin

if(Reset)

begin

hi<=32'b0;

lo<=32'b0;

end

else if(MUL_Write)

begin

if(MUL_SelHL==`MUL_SEL_HIGH)

hi<=MUL_DB;

else

lo<=MUL_DB;

end

else if(finish)

begin

hi<=result[63:32];

lo<=result[31:0];

end

end

endmodule

(3)记录下仿真结果,并与预习时的理论仿真波形对照,是否一致?结合图说明电路的工作

过程。

答:乘法仿真波形如上图。MUL_SelMD为0表示进行乘法运算。开始时Reset置为1,

系统清0;Reset置为0,输入被乘数0F和乘数02,且MUL_Start置为1时,运算开始,得到result为001EH,根据MUL_SelHL选择的是输出低32位得到最终的结果MUL_DC 为1E。改变乘数为05,再次将MUL_Start置1,运算再次开始,结果result为004BH,根据MUL_SelHL选择的是输出低32为得到最终结果MUL_DC为4B。

(4)若本实验中要考虑除数可能为零的情况,你认为应该如何修改本实验的代码?

答:需要修改以下代码:

always@(posedge working)

begin

finish2<=1'b0;

if(MUL_SelMD==`MUL_MUL)

result<=result_mul(MUL_DA,MUL_DB);

else if(MUL_DB == 32’b0)

result <= 64’b0;

else

result<=result_div(MUL_DA,MUL_DB);

finish2<=1'b1;

end

(5)带进位运算器与不带进位运算器有何区别?在设计实现时应如何体现?

答:带进位运算器可扩展为更多位数据的运算,其低位进位锁存在D触发器,用于高位的运算;而不带进位运算器只有一个初始的低位进位,在得到新的进位后无法保存用于更高位的运算,不能实现扩展更多位数据的运算。

在设计时将每次产生的进位CO保存在D触发器中,由原始进位CN和T4信号控制D 触发器。将D触发器保存的进位送入ALU181与A[7..0]和B[7..0]进行运算。

(6)给出你设计的原理图。

答:

(7)记录下仿真结果,并与预习时的理论仿真波形对照,是否一致?结合图说明电路的工作

过程。

答:

仿真结果与预习时的理论仿真波形一致。

以加法为例,S为1001值执行加法运算,M=1做逻辑运算,A0_B1为0时,IN赋值给

A,A0_B1为1时,IN赋值给B;S由Sclk控制生成不同运算,F显示运算结果,CO 为结果进位输出。AA+AA+进位产生进位,所以co为1,结果为55,FF+FF+进位产生进位,结果为AA,符合理论。

(8)根据实验结果,填写表2.6中的实验数据。

自动控制原理实验报告

第一章Matlab 基本运算 [范例1-2] 建立矩阵A={7 8 9},B={7 8 9} >> A=[7,8,9] A = 7 8 9 >> B=A' B = 7 8 9 (2) >> B=[1 1 2 ; 3 5 8 ; 10 12 15] B= 1 1 2 3 5 8 10 12 15 (3) >> a=1:1:10 a = 1 2 3 4 5 6 7 8 9 10 >> t=10:-1:1

t = 10 9 8 7 6 5 4 3 2 1 [范例1-3]求多项式D(S)=(5S^2+3)(S+1)(S-1)的展开式 >> D=conv([5 0 3],conv([1 1],[1 -2])) D = 5 -5 -7 -3 -6 [范例1-4]求多项式P(X)=2X^4-5X^3-X+9 (1) >> P=[2 -5 6 -1 9] P = 2 -5 6 -1 9 >> x=roots(P) x = 1.6024 + 1.2709i 1.6024 - 1.2709i -0.3524 + 0.9755i -0.3524 - 0.9755i 第二章控制系统的数学模型 [范例2-1]已知系统传递函数G(S)= s + 3/ s^3 + 2 s^2 + 2 s + 1 >> num=[0 1 3]; >> den=[1 2 2 1]; >> printsys(num,den) num/den = s + 3 --------------------- s^3 + 2 s^2 + 2 s + 1 [范例2-2]已知系统传递函数G(S)=【5*(S+2)^2(S^2+6S+7)】/S(S+1)^3(S^3+2S+1)],试

北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验仿真结果

北航自动控制系统原理实验资料报告材料1-4合集

自动控制原理 实验报告 实验一二阶系统的电子模拟及时域响应的动态测试实验二频率响应测试 实验三控制系统串联校正 实验四控制系统数字仿真 : 学号:单位:仪器科学与光电工程学院 日期:2013年12月27日

实验一二阶系统的电子模拟及时域响应的动态测试 一、实验目的 1. 了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系。 2. 学习在电子模拟机上建立典型环节系统模型的方法。 3. 学习阶跃响应的测试方法。 二、实验容 1. 建立一阶系统的电子模型,观测并记录在不同时间常数T时的跃响应曲线,并测定其过渡过程时间TS。 2. 建立二阶系统的电子模型,观测并记录在不同阻尼比ζ时的跃响应曲线,并测定其超调量σ%及过渡过程时间TS。 三、实验原理 1.一阶系统:系统传递函数为: 模拟运算电路如图1- 1所示: 图1- 1 由图1-1得 在实验当中始终取R2= R1,则K=1,T= R2C取不同的时间常数T分别为:0.25、0.5、1 2.二阶系统: 其传递函数为: 令=1弧度/秒,则系统结构如图1-2所示: 图1-2 根据结构图,建立的二阶系统模拟线路如图1-3所示:

图1-3 取R2C1=1 ,R3C2 =1,则及 ζ取不同的值ζ=0.25 , ζ=0.5 , ζ=1 四、实验步骤 1. 确定已断开电子模拟机的电源,按照实验说明书的条件和要求,根据计算的电阻电容值,搭接模拟线路; 2. 将系统输入端与D/A1相连,将系统输出端与A/D1相; 3. 检查线路正确后,模拟机可通电; 4. 双击桌面的“自控原理实验”图标后进入实验软件系统。 5. 在系统菜单中选择“项目”——“典型环节实验”;在弹出的对话框中阶跃信号幅值选1伏,单击按钮“硬件参数设置”,弹出“典型环节参数设置”对话框,采用默认值即可。 6. 单击“确定”,进行实验。完成后检查实验结果,填表记录实验数据,抓图记录实验曲线。 五、实验设备 HHMN-1电子模拟机一台、PC机一台、数字式万用表一块 六、实验数据 T 0.25 0.5 1 R2 250K 500K 1M C 1μF 1μF 1μF Ts理论0.75s 1.5s 3.0s Ts实测0.763s 1.543s 3.072s Ts误差 1.73% 2.87% 2.40% 响应图形图1 图2 图3

北航自动控制元件复习提纲

自控元件复习提纲 一、关于考试(从学长处获得信息,仅供大家参考): 1、简答:eg:1)、为什么空载实验可以测r m ,x m? 2)、变压器中是否必须有无功功率? 2、论述:eg:1)、变压器工作原理。 2)、电机基本特点。 论述时必要时要作图说明。如要论述两相伺服电机为何有无自转特性时应该作出单相工作时两相伺服电机的机械特性曲线来说明。 3、计算:两个计算,一个直流,一个交流。 二、各章重点内容概述(参考往届笔记): 第1章直流磁路及其计算 重点章节:1-1,1-2,1-3 磁路总是闭合的;磁路计算的正反两类任务;等效磁路的画法第2章直流电磁铁及其典型应用 重点章节:2-1,2-2,另外第三节中的继电器的主要技术指标大家也要注意第3章直流电机的一般问题 重点章节:3-1,3-2,3-4,3-5,3-6 发电机、电动机的识别,电角的概念,电枢反应的概念,直流电机的电枢电动 势和电磁转矩的计算方法,直流电机的电势平衡关系、转矩平衡关系、功率平 衡关系,电枢绕组的具体原理如果不明白大家不必深究,只要知道概念就可以, 这里不是重点。 PS:本章是比较重要的一章,计算题可能出在这一章。 第4章直流测速发电机和直流伺服电动机 重点章节:4-1,4-2 第三节要掌握直流力矩电动机的特点,知道其应用场合以 及为什么要用在这些场合。 准确理解直流伺服电动机的工作原理、四种工作状态,准确掌握直流测速发电 机和直流伺服电动机的工作原理及特性(输入、输出等) 第5章变压器 重点章节:5-2,5-3,5-4,5-5,5-6 空载电压平衡式和等效电路及相量图,负载运行的电压平衡式和磁动势平衡式 及相量图和等效电路P101的图5-12大家仔细看。参数、额定数据和特性。磁 场问题转化到电路问题。 第6章异步电动机 重点章节:6-1,6-3,6-4,6-5 转差率S,功率传递,相电动势,第三节可能考计算,注意一下习题中的最后 两道计算题6.12,6.15 另外,第六章和第五章联系很紧密,大家可以结合起来复习效果更好。 第7章两相电机 重点章节:7-1,7-2 分解磁场,无自转的分析,稳定运行范围大,第一节的第(四)部分其它大家 可以不用管。异步测速发电机的输出电压的特点,原理,频率与转速无关,只 与电源有关。 第8章同步电动机

北航电子电路设计训练模拟分实验报告

北航电子电路设计训练模拟部分实验报告

————————————————————————————————作者:————————————————————————————————日期:

电子电路设计训练模拟部分实验 实验报告

实验一:共射放大器分析与设计 1.目的: (1)进一步了解Multisim的各项功能,熟练掌握其使用方法,为后续课程打好基础。 (2)通过使用Multisim来仿真电路,测试如图1所示的单管共射放大电路的静态工作点、电压放大倍数、输入电阻和输出电阻,并观察 静态工作点的变化对输出波形的影响。 (3)加深对放大电路工作原理的理解和参数变化对输出波形的影响。 (4)观察失真现象,了解其产生的原因。 图 1 实验一电路图 2.步骤: (1)请对该电路进行直流工作点分析,进而判断管子的工作状态。 (2)请利用软件提供的各种测量仪表测出该电路的输入电阻。 (3)请利用软件提供的各种测量仪表测出该电路的输出电阻。 (4)请利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线。 (5)请利用交流分析功能给出该电路的幅频、相频特性曲线。 (6)请分别在30Hz、1KHz、100KHz、4MHz和100MHz这5个频点利用示波器测出输入和输出的关系,并仔细观察放大倍数和相位差。 (提示:在上述实验步骤中,建议使用普通的2N2222A三极管,并请注 意信号源幅度和频率的选取,否则将得不到正确的结果。) 3.实验结果及分析: (1)根据直流工作点分析的结果,说明该电路的工作状态。 由simulate->analyses->DC operating point,可测得该电路的静态工作点为:

北航微机原理实验1报告

实验一字符串排序 实验时间:2015.11.21 实验编号:同组同学:无一、实验背景 本实验可以实现对任意长度字符串的进行从小到大的排序并回显到屏幕上。 二、实验原理 本实验的采用的实验原理主要是冒泡法 原理图如下: 三、预习思考题的实验验证分析 预习思考题的实验分析验证(包括程序代码以及程序的执行结果)已经在预习报告中做出回答,在此不再进行赘述。 四、实验过程与结果 1、实验过程:(1)双击计算机桌面上的TPC-2003实验系统配套的软件;

(2)新建一个源程序,在当前运行环境下,选择菜单栏中的“文件”菜单,菜单下拉后选择“新建”,会弹出新建窗口,选择新建表单中的“ASM”,点击“确定”,新建汇编程序; (2)根据题目要求设计程序,并将其输入到软件界面上; (3)保存新建的源程序; (4)点击“汇编”的快捷键,编译调试窗口中显示出汇编结果,程序没有错误; (5)打开命令提示符操作界面,将生成的可执行文件拖入到其中,回车即可运行该程序; (6)对程序是否达到实验要求进行实验验证。 2、实验结果:本实验达到了以下要求 1、利用INT 21H的1号功能,从键盘输入任意长度的字符串,以回车符结束; 2、将输入的字符串存放在数据段中; 3、对输入的字符串按ASCII码从小到大排序(ASCII小者占低地址存放); 4、将排好序的字符串利用INT 21H的9号功能显示在微机屏幕上。 实验程序如下: STACK SEGMENT STACK DB 100 DUP(?) STACK ENDS DATA SEGMENT STR DB 100 DUP(?) DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA,SS:STACK MAIN PROC MOV AX,DATA MOV DS,AX MOV SI,OFFSET STR

北航实验报告实验实验

实验三UC-OS移植实验 一、实验目的 在内核移植了uCOS-II 的处理器上创建任务。 二、实验内容 1.运行实验十,在超级终端上观察四个任务的切换。 2. 任务1~3,每个控制“红”、“绿”、“蓝”一种颜色的显示,适当增加OSTimeDly()的时间,且优先级高的任务延时时间加长,以便看清三种颜色。 3.引入一个全局变量BOOLEAN ac_key,解决完整刷屏问题。 4. #define rUTRSTAT0 (*(volatile unsigned *)0x50000010) #define RdURXH0()(*(volatile unsigned char *)0x50000024) 当键盘有输入时在超级终端上显示相应的字符。 三、实验设备 硬件:ARM嵌入式开发平台、用于ARM920T的JTAG仿真器、PC机Pentium100以上。 软件:PC机操作系统Win2000或WinXP、ARM ADS1.2集成开发环境、仿真器驱动程序、超级终端通讯程序。 四、实验原理 所谓移植,指的是一个操作系统可以在某个微处理器或者微控制器上运行。虽然uCOS-II的大部分源代码是用C语言写成的,仍需要用C语言和汇编语言完成一些与处理器相关的代码。比如:uCOS-II在读写处理器、寄存器时只能通过汇编语言来实现。因为uCOS-II在设计的时候就己经充分考虑了可移植性,所以,uCOS-II的移植还是比较容易的。 要使uCOS一工工可以正常工作,处理器必须满足以下要求: 1)处理器的C编译器能产生可重入代码。 2)在程序中可以打开或者关闭中断。 3)处理器支持中断,并A能产生定时中断(通常在10Hz}1000Hz之间)。 4)处理器支持能够容纳一定量数据的硬件堆栈。 5)处理器有将堆栈指针和其它CPU寄存器存储和读出到堆栈(或者内存)的指

电气工程专业考研专业课初试科目及复试内容汇总

《电气工程专业考研专业课初试科目及复试内容汇总》 自动化专业的考研方向 自动化专业方向很广,考的时候还分双控,模式,电力电机等等方面,你可以参看学校是否在这个方面有无国家重点实验室,是不是国家重点学科来比较。 1. 清华, 2.中科院, 3.上海交大, 4.浙大,5华工,北航,东南,东北大学,西安交大,哈 尔滨工业大学,中国科技大学,华北电力,天津大学,东南大学,华中科技,武汉大学天津大学自动化 一般说来,初试的分数是最重要的,特别是考外校。当然,你的动手能力也是很重要的,还有你的英语口语,考研复试都是要考虑的。例如上海交大的复试,双控353的复试线,有380的被刷下来,就是英语口语已经专业课不是很扎实的。考外校的话依据学校而定是否要找导师 动手能力强,参加电子设计大赛都是作为你考研复试的参考,还是好好的准备初试的考试吧,毕竟它是个门槛。 【电气工程及其自动化】 北京工业大学 421自动控制原理 复试:1、电子技术2、计算机原理 北京航空航天大学 [双控] 432控制理论综合或433控制工程综合 [检测] 433控制工程综合或436检测技术综合 [系统] 431自动控制原理或451材料力学或841概率与数理统计 [模式] (自动化学院)433控制工程综合或436检测技术综合、(宇航学院)423信息类专业综合或431自动控制原理或461计算机专业综合 [导航] (自动化学院)432控制理论综合或433控制工程综合、(宇航学院)431自动控制原理 复试:无笔试。1) 外语口语与听力考核;2) 专业基础理论与知识考核;3) 大学阶段学习成绩、科研活动以及工作业绩考核;4) 综合素质与能力考核 北京化工大学 440电路原理 复试:综合1(含自动控制原理和过程控制系统及工程)、综合2(含自动检测技术装置和传感器原理及应用)、综合3(含信号与系统和数字信号处理) 注:数学可选择301数学一或666数学(单) 北京交通大学 [双控/检测]404控制理论 [模式]405通信系统原理或409数字信号处理 复试: [电子信息工程学院双控]常微分方程 [机械与电子控制工程学院检测]综合复试(单片机、自动控制原理) [计算机与信息技术学院模式] 信号与系统或操作系统

微机原理实验四实验报告

实验报告

实验四 8251可编程串行口与PC机通信实验一、实验要求 利用实验箱内的8251A芯片,实现与PC机的通信。 二、实验目的 1.掌握8251A芯片结构和编程方法; 2.了解实现串行通信的硬件环境,数据格式和数据交换协议; 3.了解PC机通信的基本要求。 三、实验原理 (一)8251A芯片工作方式配置: 1. 8个数据位; 2.无奇偶校验位; 3.1个停止位; 4.波特率因子设为16; 5. 波特率设为9600。 (二)8251A主要寄存器说明 图4-1 模式字 图4-2 命令字

CO MMAN D I NSTR UCT ION FO RMA T 图4-3 状态字 (三)8251编程 对8251 的编程就是对8251 的寄存器的操作,下面分别给出8251 的几个寄存器的格式。(1)方式控制字 方式控制字用来指定通信方式及其方式下的数据格式,具体各位的定义如图4-4所示。 图4-4 方式控制字说明 (2)命令控制字 命令控制字用于指定8251 进行某种操作(如发送、接收、内部复位和检测同步字符等)或处于某种工作状态,以便接收或发送数据。图4-5 所示的是8251 命令控制字各位的定义。 图4-5命令控制字说明 (3)状态字 CPU 通过状态字来了解8251 当前的工作状态,以决定下一步的操作,8251 的状态字如 图4-6所示。 图4-6 状态字说明 四、实验电路连接: 1.CS8251接228H,CS8279已固定接至238H; 2.扩展通信口18中的232RXD连8251RXD ,232TXD连8251TXD;

3.计算机的两个RS232通信口,一个连至仿真机通信口,一个连至扩展通信口18(所有通信口均为DB9)。注意:RS232通信口必须在设备断电状态下插拔! 图4-7 连线图 五、实验内容及要求 1. 将例程从PDF文档中导入到WMD86软件编辑环境中,调试通过。使用软件自带的示波器,观察Txd管脚的输出,验证结果的正确性。将结果截图保存,贴入实验报告。 2.剔除例程中冗余部分,实现对例程的精简和优化。将精简内容与相应理由写入实验报告。 3.将自己学号的后三位数字通过RS232端口的Txd管脚输出。使用软件自带的示波器,观察Txd管脚的输出,验证结果的正确性。将结果截图保存,贴入实验报告。 4.通过读状态寄存器的方法,获得发送移位寄存器是否为空的信息,实现学号后三位数字的循环发送。将结果截图保存,贴入实验报告。 5.给每帧数据间添加固定的时间间隔,时间间隔为10000个指令周期。将结果截图保存,

北航自动控制原理实验报告(完整版)

自动控制原理实验报告 一、实验名称:一、二阶系统的电子模拟及时域响应的动态测试 二、实验目的 1、了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系 2、学习在电子模拟机上建立典型环节系统模型的方法 3、学习阶跃响应的测试方法 三、实验内容 1、建立一阶系统的电子模型,观测并记录在不同时间常数T时的响应曲线,测定过渡过程时间T s 2、建立二阶系统电子模型,观测并记录不同阻尼比的响应曲线,并测定超调量及过渡过程时间T s 四、实验原理及实验数据 一阶系统 系统传递函数: 由电路图可得,取则K=1,T分别取:0.25, 0.5, 1 T 0.25 0.50 1.00 R2 0.25MΩ0.5M Ω1MΩ C 1μ1μ1μ T S 实测0.7930 1.5160 3.1050 T S 理论0.7473 1.4962 2.9927 阶跃响应曲线图1.1 图1.2 图1.3 误差计算与分析 (1)当T=0.25时,误差==6.12%; (2)当T=0.5时,误差==1.32%; (3)当T=1时,误差==3.58% 误差分析:由于T决定响应参数,而,在实验中R、C的取值上可能存在一定误差,另外,导线的连接上也存在一些误差以及干扰,使实验结果与理论值之间存在一定误差。但是本实验误差在较小范围内,响应曲线也反映了预期要求,所以本实验基本得到了预期结果。 实验结果说明 由本实验结果可看出,一阶系统阶跃响应是单调上升的指数曲线,特征有T确定,T越小,过度过程进行得越快,系统的快速性越好。 二阶系统 图1.1 图1.2 图1.3

系统传递函数: 令 二阶系统模拟线路 0.25 0.50 1.00 R4 210.5 C2 111 实测45.8% 16.9% 0.6% 理论44.5% 16.3% 0% T S实测13.9860 5.4895 4.8480 T S理论14.0065 5.3066 4.8243 阶跃响应曲线图2.1 图2.2 图2.3 注:T s理论根据matlab命令[os,ts,tr]=stepspecs(time,output,output(end),5)得出,否则误差较大。 误差计算及分析 1)当ξ=0.25时,超调量的相对误差= 调节时间的相对误差= 2)当ξ=0.5时,超调量的相对误差==3.7% 调节时间的相对误差==3.4% 4)当ξ=1时,超调量的绝对误差= 调节时间的相对误差==3.46% 误差分析:由于本试验中,用的参量比较多,有R1,R2,R3,R4;C1,C2;在它们的取值的实际调节中不免出现一些误差,误差再累加,导致最终结果出现了比较大的误差,另外,此实验用的导线要多一点,干扰和导线的传到误差也给实验结果造成了一定误差。但是在观察响应曲线方面,这些误差并不影响,这些曲线仍旧体现了它们本身应具有的特点,通过比较它们完全能够了解阶跃响应及其性能指标与系统参数之间的关系,不影响预期的效果。 实验结果说明 由本实验可以看出,当ωn一定时,超调量随着ξ的增加而减小,直到ξ达到某个值时没有了超调;而调节时间随ξ的增大,先减小,直到ξ达到某个值后又增大了。 经理论计算可知,当ξ=0.707时,调节时间最短,而此时的超调量也小于5%,此时的ξ为最佳阻尼比。此实验的ξ分布在0.707两侧,体现了超调量和调节时间随ξ的变化而变化的过程,达到了预期的效果。 图2.2 图2.1 图2.3

北航自动控制原理实验报告- 一、二阶系统的电子模拟及时域响应的动态测试

成绩 北京航空航天大学 自动控制原理实验报告 学院机械工程及自动化学院 专业方向机械工程及自动化 班级 学号 学生姓名刘帆 自动控制与测试教学实验中心

实验一 一、二阶系统的电子模拟及时域响应的动态测试 实验时间2014年11月15日 实验编号 同组同学 一、实验目的 1、 了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系。 2、 学习在电子模拟机上建立典型环节系统模型的方法。 3、 学习阶跃响应的测试方法。 二、实验内容 1、 建立一阶系统的电子模型,观测并记录在不同时间常数T 时的跃响应曲线,并测定其过渡过程时间T s 。 2、 建立二阶系统的电子模型,观测并记录在不同阻尼比ζ时的跃响应曲线,并测定其超调量σ%及过渡过程时间T s 。 三、实验原理 1、一阶系统阶跃响应性能指标的测试 系统的传递函数为:()s ()1 C s K R s Ts φ=+()= 模拟运算电路如下图 : 其中2 1 R K R = ,2T R C =;在实验中,始终保持21,R R =即1K =,通过调节2R 和C 的不同取值,使得T 的值分别为0.2,0.51,1.0。记录实验数据,测量过度过程的性能指标,其中取正负5%误差带,按照经验公式取3s t T =

2、二阶系统阶跃响应性能指标的测试 系 统 传递函数为: 令ωn=1弧度/秒,则系统结构如下图: 二阶系统的 模拟电路图如下: 在实验过程中,取22321,1R C R C ==,则 442312R R C R ζ==,即42 12R C ζ=;在实验当中取123121,1R R R M C C F μ===Ω==,通过调整4R 取不同的值,使得ζ分别为0.25,0.5,0.707,1;记录所测得的实验数据以及其性能指标,取正负5%误差 带,其中当ζ<1时经验公式为2 1 3.5 %100%,s n e t ζσζω- -=?= ,当ζ=1时经验公式 为n 4.75 ts ω= 四、试验设备: 1、HHMN-1型电子模拟机一台。 2、PC 机一台。 3、数字万用表一块。 4、导线若干。

FPGA实验报告北航电气技术实验

FPGA电气技术实践 实验报告 院(系)名称宇航学院 专业名称飞行器设计与工程(航天)学生学号XXXXXXXX 学生姓名XXXXXX 指导教师XXXX 2017年11月XX日

实验一四位二进制加法计数器与一位半加器的设计实验时间:2017.11.08(周三)晚实验编号20 一、实验目的 1、熟悉QuartusII的VHDL的文本编程及图形编程流程全过程。 2、掌握简单逻辑电路的设计方法与功能仿真技巧。 3、学习并掌握VHDL语言、语法规则。 4、参照指导书实例实现四位二进制加法计数器及一位半加器的设计。 二、实验原理 .略 三、实验设备 1可编程逻辑实验箱EP3C55F484C8 一台(包含若干LED指示灯,拨码开关等)2计算机及开发软件QuartusII 一台套 四、调试步骤 1四位二进制加法计数器 (1)参照指导书实例1进行工程建立与命名。 (2)VHDL源文件编辑 由于实验箱上LED指示灯的显示性质为“高电平灭,低电平亮”,为实现预期显示效果应将原参考程序改写为减法器,且”q1<= q1+1”对应改为”q1<= q1-1”,以实现每输入一个脉冲“亮为1,灭为0”。 由于参考程序中的rst清零输入作用并未实现,所以应将程序主体部分的最外部嵌套关于rst输入是否为1的判断,且当rst为1时,给四位指示灯置数”1111”实现全灭,当rst为0时,运行原计数部分。 (3)参照指导书进行波形仿真与管脚绑定等操作,链接实验箱并生成下载文件 (4)将文件下载至实验箱运行,观察计数器工作现象,调试拨动开关查看是否清零。 可以通过改变与PIN_P20(工程中绑定为clk输入的I/O接口)相连导线的另一端所选择的实验箱频率时钟的输出口位置,改变LED灯显示变化频率。 并且对照指导书上对实验箱自带时钟频率的介绍,可以通过改变导线接口转换输入快慢,排查由于clk输入管脚损坏而可能引起的故障。

微机原理实验报告

微 机 原 理 实 验 报 告 班级: 指导老师:学号: 姓名:

实验一两个多位十进制数相加的实验 一、实验目的 学习数据传送和算术运算指令的用法 熟悉在PC机上建立、汇编、链接、调试和运行汇编语言程序的过程。 二、实验内容 将两个多位十进制数相加,要求被加数和加数均以ASCII码形式各自顺序存放在以DATA1、DATA2为首的5个内存单元中(低位在前),结果送回DATA1处。 三、程序框图 图3-1

四、参考程序清单 DATA SEGMENT DATA1 DB 33H,39H,31H,37H,34H;被加数 DATA1END EQU $-1 DATA2 DB 34H,35H,30H,38H,32H;加数 DATA2END EQU $-1 SUM DB 5 DUP(?) DATA ENDS STACK SEGMENT STA DB 20 DUP(?) TOP EQU LENGTH STA STACK ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA,SS:STACK,ES:DATA START: MOV AX,DATA MOV DS,AX MOV AX,STACK MOV SS,AX MOV AX,TOP MOV SP,AX

MOV SI,OFFSET DATA1END MOV DI,OFFSET DATA2END CALL ADDA MOV AX,4C00H INT 21H ADDA PROC NEAR MOV DX,SI MOV BP,DI MOV BX,05H AD1: SUB BYTE PTR [SI],30H SUB BYTE PTR [DI],30H DEC SI DEC DI DEC BX JNZ AD1 MOV SI,DX MOV DI,BP MOV CX,05H CLC AD2: MOV AL,[SI] MOV BL,[DI] ADC AL,BL

北航17系光电子实验报告实验5讲解

光电子技术实验报告

实验五光电池特性实验 一.实验目的: 1.学习掌握硅光电池的工作原理。 2.学习掌握硅光电池的基本特性。 3.掌握硅光电池基本特性测试方法。 二.实验原理: 光电池是一种不需要加偏置电压就能把光能直接转换成电能的PN结光电器件,按光电池的功用可将其分为两大类:即太阳能光电池和测量光电池,本仪器用的是测量用的硅光电池,其主要功能是作为光电探测,即在不加偏置的情况下将光信号转换成电信号。 图(20)图(21)如图(20)所示为2DR型硅光电池的结构,它是以P型硅为衬底(即在本征型硅材料中掺入三价元素硼或镓等),然后在衬底上扩散磷而形成N型层并将其作为受光面。如图(21)所示当光作用于PN结时,耗尽区内的光生电子与空穴在内建电场力的作用下分别向N区和P区运动,在闭合电路中将产生输出电流IL,且负载电阻RL上产生电压降为U。显然,PN结获得的偏置电压U与光电池输出电流IL与负载电阻RL有关,即U=IL?RL,当以输出电流的IL为电流和电压的正方向时,可以得到如图(22)所示的伏安特性曲线。

图(22)图(23)光电池在不同的光强照射下可以产生不同的光电流和光生电动势,硅光电池的光照特性曲线如图(23)所示,短路电流在很大范围内与光强成线性关系,开路电压随光强变化是非线性的,并且当照度在2000lx时就趋于饱和,因此,把光电池作为测量元件时,应把它当作电流源来使用,不宜用作电压源。 硒光电池和硅光电池的光谱特性曲线如图(25)所示,不同的光电池其光谱峰值的位置不同,硅光电池的在800nm附近,硒光电池的在540nm附近,硅光电池的光谱范围很广,在450~1100nm之间,硒光电池的光谱范围为340~750nm。 图(24)图(25)光电池的温度特性主要描述光电池的开路电压和短路电流随温度变化的情况,由于它关系到应用光电池设备的温度漂移,影响到测量精度或控制精度等主要指标,光电池的温度特性如图(24)所示。开路电压随温度升高而下降的速度较快,而短路电流随温度升高而缓慢增加,因此,当使用光电池作为测量元件时,在系统设计中应考虑到温度的漂移,并采取相应的措施进行补偿。 三.实验所需部件: 两种光电池、各类光源、实验选配单元、数字电压表(4 1/2位)自备、微安表(毫安表)、激光器、照度计(用户选配)。

自动控制原理实验报告

自动控制原理 实验报告

实验一一、二阶系统的电子模拟及时域响应的动态测试 实验目的 1.了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系。 2.学习在电子模拟机上建立典型环节系统模型的方法。 3.学习阶跃响应的测试方法。 二、实验内容 1.立一阶系统的电子模型,观测并记录在不同时间常数T时的跃响应曲线, 并测定其过渡过程时间TS。 2.立二阶系统的电子模型,观测并记录在不同阻尼比ζ时的跃响应曲线, 并测定其超调量σ%及过渡过程时间TS。 三、实验原理 1.一阶系统: 系统传递函数为:错误!未找到引用源。 模拟运算电路如图1-1所示: 图1-1 由图得: 在实验当中始终取错误!未找到引用源。, 则错误!未找到引用源。, 错误!未找到引用源。 取不同的时间常数T分别为: 0.25、 0.5、1。 记录不同时间常数下阶跃响应曲线,测量纪录其过渡过程时 ts。(取错误! 未找到引用源。误差带) 2.二阶系统: 其传递函数为: 错误!未找到引用源。 令错误!未找到引用源。,则系统结构如图1-2所示:

图1-2 根据结构图,建立的二阶系统模拟线路如图1-3所示: 图1-3 取错误!未找到引用源。,错误!未找到引用源。,则错误!未找到引用源。及错误!未找到引用源。 错误!未找到引用源。取不同的值错误!未找到引用源。 , 错误!未找到引用源。, ,观察并记录阶跃响应曲线,测量超调量σ%(取错误!未找到引用源。误差带),计算过渡过程时间Ts。 四、实验设备 1.HHMN-1型电子模拟机一台。 2.PC 机一台。 3.数字式万用表一块。 4.导线若干。 五、实验步骤 1.熟悉HHMN-1型电子模拟机的使用方法,将各运算放大器接成比例器,通电调零。 2.断开电源,按照实验说明书上的条件和要求,计算电阻和电容的取值,按照模拟线路图搭接线路,不用的运算放大器接成比例器。 3.将D/A1与系统输入端Ui连接,将A/D1与系统输出端UO连接(此处连接必须谨慎,不可接错)。线路接好后,经教师检查后再通电。 4.在Windows XP桌面用鼠标双击MATLAB图标后进入,在命令行处键入autolab 进入实验软件系统。 5.在系统菜单中选择实验项目,选择实验一,在窗口左侧选择实验模型,其它步骤察看概述3.2节内容。 6.观测实验结果,记录实验数据,绘制实验结果图形,填写实验数据表格,完成实验报告。 7.研究性实验方法。实验者可自行确定典型环节传递函数,并建立系统的SIMULINK模型,验证自动控制理论相关的理论知识。实现步骤可察看概述3.3节内容。

北京理工大学微机原理实验报告

微机原理与接口技术 实验报告 实验内容:汇编语言程序设计实验 组别:12 姓名: 班级: 学号:

一、实验目的 1、熟悉IDE86集成开发环境的使用。 2、通过编程、上机调试,进一步理解汇编语言的设计思路与执行过程。 3、熟悉DOS命令调用,以达到输入输出、返回DOS系统等目的。 4、掌握利用汇编实现求和与求最值的方法。 5、掌握利用汇编实现数制转换的方法。 6、巩固理论知识,锻炼动手编程,独立思考的能力。 二、实验内容(具体内容) 1、求从TABLE开始的10个无符号字节数的和,并将结果放在SUM字单元中。并查看前5个,前8 个数之和以及各寄存器和内存的状态。 2、在1的基础上修改程序,求出10个数中的最大值和最小值,最后将最大最小值分别赋给MAX及 MIN。 3、求1到 100 的累加和,并用十进制形式将结果显示在屏幕上。要求实现数据显示,并返回DOS 状态。 三、实验方法 1、设计思路 (1)实验1的设计思路:先将10个要相加的数存在以TABLE为首的10个连续的存储单元中,然后利用循环结构依次取出数值放在AL中并累加,若有进位则加到AH中直至循环10次累加结束,将累加的结果放在SUM中并返回DOS状态。 (2)实验2的设计思路:先将10个要比较的数放在以TABLE为首的10个连续的存储单元中。 将第一个数首先赋给AL和AH(分别存储相对最小和最大值)在利用LOOP循环结构,依次和下面的数进行比较,每次把相对的最大值与最小值存储到AH和AL中直至循环9次比较结束,将AH和AL里面的最大值与最小值赋给MAX和MIN,返回DOS状态 (3)实验3的设计思路:先在内存中定义COUNT=100,表示1-100求和,若相求1-n的和并显示只需COUNT的值为n即可,同时定义一块以DNUM为首地址的数据区用于存储累加和的十进制数对应的ASCII码。先利用AX和LOOP求出1-COUNT的累加和存在AX中;在进行数值转化,AX 依次除10取余数保存,将16进制数转化为10进制ASCII码值并存在DUNM中。最后在屏幕上显示并返回DOS状态。 2程序流程图 实验一、二和三的流程图分别如图1、图2和图3所示

北航eda实验报告

2014-2015-2-G02A3050-1 电子电路设计训练(数字EDA部分) 实验报告 (2015年5月19日) 教学班学号姓名组长签名成绩120311王天然* 120311马璇 120312唐玥 自动化科学与电气工程学院

目录 ( 2015年5月19日).........................................错误!未定义书签。目录 .........................................................错误!未定义书签。实验一、简单组合逻辑和简单时序逻辑............................错误!未定义书签。 简单的组合逻辑设计..................................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 测试模块源代码:..................................错误!未定义书签。 简单分频时序逻辑电路的设计...........................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 (选作)设计一个字节(8位)比较器....................错误!未定义书签。 实验内容:........................................错误!未定义书签。 实验代码:........................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 实验小结.............................................错误!未定义书签。实验二、条件语句和always过程块...............................错误!未定义书签。 实验任务1——利用条件语句实现计数分频时序电路.......错误!未定义书签。 实验要求.........................................错误!未定义书签。 模块的核心逻辑设计...............................错误!未定义书签。 测试程序的核心逻辑设计...........................错误!未定义书签。 仿真实验关键结果及其解释.........................错误!未定义书签。 实验任务2——用always块实现较复杂的组合逻辑电路....错误!未定义书签。

微机原理实验报告

微机原理实验报告 计算机网络的设计是一个要求动手能力很强的一门实践课程,在课程设计期间我努力将自己以前所学的理论知识向实践方面转化,尽量做到理论与实践相结合,在课程设计期间能够遵守纪律规章,不迟到、早退,认真完成老师布置的任务,同时也发现了自己的许多不足之处。 在课程设计过程中,我一共完成了11个实验,分别是1.制作直通电缆和交叉UTP、2.交换机Console口和Telnet配置、3.交换机端口和常规配置、4.虚拟局域网VLAN配置、5.路由器Console口Telnet 配置方法和接口配置、6.路由器静态路由配置、7单臂路由配置、8.动态路由协议配置、9.PPP协议配置、10路由器访问控制表(ACL)、11.网络地址转换(NAT)。 在制作直通电缆和交换UTP的实验中,我起初不能完全按照要求来剪切电缆,导致连接不通,后来在同学的帮助下,终于将实验完成。 在做到单臂路由配置和动态路由协议配置的实验,由于自身的基础知识掌握不牢,忘掉了一些理论知识,在重新翻阅课本和老师的指导之下,也成功的完成了试验。 从抽象的理论回到了丰富的实践创造,细致的了解了计算机网络连接的的全过程,认真学习了各种配置方法,并掌握了利用虚拟环境配置

的方法,我利用此次难得的机会,努力完成实验,严格要求自己,认真学习计算机网络的基础理论,学习网络电缆的制作等知识,利用空余时间认真学习一些课本内容以外的相关知识,掌握了一些基本的实践技能。 课程设计是培养我们综合运用所学知识,发现、提出、分析、解决问题的一个过程,是对我们所学知识及综合能力的一次考察。随着科学技术日新月异的不断发展,计算机网络也在不断的变化发展当中,这就要求我们用相应的知识来武装自己,夯实基础,为将来走向工作岗位,贡献社会做好充分的准备。

北航电涡流传感器实验报告

电涡流传感器实验报告 38030414蔡达 一、实验目的 1.了解电涡流传感器原理; 2.了解不同被测材料对电涡流传感器的影响。 二、实验仪器 电涡流传感器实验模块,示波器:DS5062CE,微机电源:WD990型,士12V,万用表:VC9804A型,电源连接电缆,螺旋测微仪 三、实验原理 电涡流传感器由平面线圈和金属涡流片组成,当线圈中通以高频交变电流后,在与其平行的金属片上会感应产生电涡流,电涡流的大小影响线圈的阻抗Z,而涡流的大小与金属涡流片的电阻率、导磁率、厚度、温度以及与线圈的距离X有关,当平面线圈、被测体(涡流片)、激励源确定,并保持环境温度不变,阻抗Z只与距离X有关,将阻抗变化转为电压信号V输出,则输出电压是距离X的单值函数。

四. 实验数据及处理 1.铁片 0.5 1 1.52 2.5 3 3.5 电涡流传感器电压位移曲线—铁片 电压/V 位移/mm

0.5 1 1.5 2 2.53 3.5 电涡流传感器电压位移拟合曲线—铁片 电压/V 位移/mm 其线性工作区为0.6——3.4,对该段利用polyfit 进行函数拟合,可得V=-1.0488X-1.2465 2.铜片

电涡流传感器电压位移曲线—铜片 电压/V 位移/mm 2.2 2.4 2.6 2.83 3.2 3.4 3.6 -6-5.95-5.9-5.85 -5.8-5.75-5.7 -5.65-5.6-5.55-5.5电涡流传感器电压位移拟合曲线—铜片 电压/V 位移/mm 其线性工作区为2.4——3.4,对该段利用polyfit 进行函数拟合,可得V= -0.4500X -4.4667

自动控制元件作业答案

《自动控制元件》作业 第一章 直流伺服电动机 1-1直流伺服电动机的电磁转矩和控制电流由什么决定? 答:a :由T em =C m ΦI a 知电磁转矩由每极磁通量和绕组电流大小决定。 b :由T em =T 0 +T 2 =CmΦIa 控制电流由负载转矩(T 2)和空载转矩(T 0)大小决定。 1-2当直流伺服电动机的负载转矩恒定不变时,控制电压升高将使稳态的电磁转矩、控制电流、转速发生怎样的变化?为什么? 答:a :电磁转矩T em =T 0 +T 2可见电磁转矩也不变。由T em =C m ΦI a 知控制电流 I a 也不变 b :KeKt RaTem Ke Ua n -=知T em 不变可见U a 转速升高理想空载转速变大导致转速n 升高。 1-3已知一台直流电动机,其电枢额定电压Ua=110V ,额定运行时电枢电流Ia=0.4A ,转速n=3600rpm ,它的电枢电阻Ra=50欧姆,负载阻转矩To=15mN.m 。试问该电动机额定负载转矩是多少? 答:Ea= Ua- IaRa=110-0.4×50=90V Ea=Ce Φn, Ce=0.105Cm Cm Φ=0.2383600 0.10590n 105.0=?=?Ea T em =T 0 +T 2=CmΦI a →T 2=CmΦIa -T 0 =0.40.238=0.0952-15×10-3=80.2mN.m 1-6当直流伺服电动机电枢电压、励磁电压不变时,如将负载转矩减少,试问此时电动机的电枢电流、电磁转矩、转速将怎样变化?并说明由原来的状态到新的稳态的物理过程。 答:磁转矩T em =T 0 +T 2可见T 2 ↓电磁转矩也↓。由T em =C m ΦI a 知控制电流I a ↓

相关文档
最新文档