加减法运算电路设计

加减法运算电路设计
加减法运算电路设计

电子课程设

——加减法运算电路设计¥

学院:电信息工程学院;

专业:电气工程及其自动化

班级:

姓名:

学号:

指导老师:闫晓梅

2014年12月 19日

加减法运算电路设计

一、设计任务与要求

#

1.设计一个4位并行加减法运算电路,输入数为一位十进制数,

2.作减法运算时被减数要大于或等于减数。

灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算模式,运算完毕,所得结果亦用数码管显示。

4.系统所用5V电源自行设计。

二、总体框图

1.电路原理方框图:

%

图2-1二进制加减运算原理框图

2.分析:

如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010),

如(1001)

2和(0111)

2

,同时在两个七段译码显示器上显示出对应的十进制数

9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,

所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。

例如:

若选择加法运算方式,则(1001)

2+(0111)

2

=(10000)

2

十进制9+7=16,

并在七段译码显示器上显示16;

若选择减法运算方式,则(1001)

2-(0111)

2

=(00010)

2

十进制9-7=2,

并在七段译码显示器上显示02。

三、选择器件

~

1.器件种类:

}

^

表3-1

2.重要器件简介:

(1)[

(2). 4位二进制超前进位加法器74LS283:完成加法运算使用该器件。

1).74LS283 基本特性:供电电压:输出高电平电流:输出低电平电流: 8mA。

2).引脚图:

图3-1引出端符号:

A1–A4 运算输入端

B1–B4 运算输入端

C0 进位输入端

∑1–∑4 和输出端

C4 进位输出端3).逻辑符号:

图3-2 4).内部原理图:

-

图3-3 5).功能表:

表3-2

(3)异或门:74LS86

1).引脚图: 2).逻辑符号:、

3). 逻辑图:

图3-6

·

4).

真值表:

表3-3

分析:异或:当AB不相同时, 结果才会发生。

函数式:

(3).三输入或非门:74LS27

1).引脚图:

\

图3-7 2).逻辑符号:

B A

B

A

B

A

Y?

+

?

=

=

图3-8

3). 逻辑图:

图3-9

.

4).真值表:

表3-4

函数式:

分析逻辑功能:A、B、C中只要出现“1”,则输出为“1”;只有A、B、C都为“0”时,输出才为“0”。

(4).非门:74LS04

当输入为高电平时输出等于低电平,而输入为低电平时输出等于高电平。因此输出与输入的电平之间是反向关系,也叫非门或反向器。

`

C

+

+

=B

A

Y

<

图3-10

1)结构

TTL反相器由三部分构成:输入级、中间级和输出级。

2)原理

A为低电平时,T1饱和,V B1≈,V B2≈,T2和T5截止,T4和D2导通,Y为高电平;A为高电平时,V B1≈,T1倒置,V B2≈,T2和T5饱和,T4和D2截止,

Y为低电平。

74LS04为六反相器,输入是A,输出是Y,6个相互独立倒相。供电电压5V,电压范围在~内可以正常工作。门数6,每门输入输出均为TTL电平(<低电平>2v高电平),低电平输出电流,高电平输出电流8mA。其逻辑符号、逻辑功能表、内部结构、管脚图分别如下:

图3-11 74LS04的逻辑图表3-5 74LS04功能表

图3-12 74LS04的逻辑符号图3-13 74LS04的管脚图

函数式:

(5).与门74LS08

1).引脚图: 2).逻辑符号:

图3-14 74LS08管脚图图3-15

<

3).逻辑图:

图3-16

4).真值表:

表3-6

函数式:]

B A

Y?=

(6).七段数码管:

图3-17是七段数码管的符号,数码管用七个发光二极管做成a、b、c、…、g

七段,通过七段亮灭的不同组合,来显示信息。并分为共阴极与共阳极两种。共阴极是将七个发光二极管的阴极接在一起并接在地上,阳极接到译码器的各输出端,当发光二极管对应的阳极为高电平时,发光二极管就亮,共阳极则与之相反。只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号,共阴极七段数码管原理图如图3-18所示。

图3-17 图3-18

七段显示译码器是驱动七段显示器件的专用译码器,它可以把输入的二―十进制代码换成七段显示管所需要的输入信息,以使七段显示管显示正确的数码,应用原理如图所示。BCD七段译码器的输入是一位BCD码(以D、C、B、A表示),输出是数码管各段的驱动信号(以F a~F g表示)。若用它驱动共阴LED数码管,则输出应为高有效,即输出为高(1)时,相应显示段发光。例如,当输入8421码DCBA=0100时,应显示 4,即要求同时点亮b、c、f、g段,熄灭a、d、e段,故译码器的输出应为F a~F g=0110011,这也是一组代码,常称为段码。

图3-19 共阳极数码管应用原理图图3-20 七段数码显示

|

其真值表如下表所示:

表3-7

(7)74LS147:

10线-4线8421 BCD码优先编码器74LS147的真值表见表。74LS147的引脚图如图所示,其中第9脚NC为空。74LS147优先编码器有9个输入端和4个输出端。某个输入端为0,代表输入某一个十进制数。当9个输入端全为1时,代表输入的是十进制数0。4个输出端反映输入十进制数的BCD码编码输出。

74LS147优先编码器的输入端和输出端都是低电平有效,即当某一个输入端低电平0时,4个输出端就以低电平0的输出其对应的8421 BCD编码。当9个输入全为1时,4个输入出也全为1,代表输入十进制数0的8421 BCD编码输1).管脚图如下:

<

图3-21

功能表如下:

`

表3-8

内部原理图如下:

图3-22

(7)LM7812

LM7812是指三段稳压集成电路IC芯片元器件,适用于各种电源稳压电路,输出稳定性好、使用方便、输出过流、过热自动保护。

本设计使用的电路为:

/

图3-23

内部原理图如下:

图3-24

(注:在此设计中,如电阻,电容二极管等器件均无特别要求,按电路中所标参数选取即可。)

|

四.功能模块

1:减法电路的实现:

(1):原理:如图1所示(如下),该电路功能为计算A-B。若n位二进制

原码为N

原,则与它相对应的补码为N

=2n-N

,补码与反码的关系式为N

=N

+1,A-B=A+B

补-2n=A+B

+1-2n

(2):因为B○+1= B非,B○+0=B,所以通过异或门74LS86对输入的数B求其

反码,并将进位输入端接逻辑1以实现加1,由此求得B的补码。加法器相加的结果为:A+B

+1,

(3):由于2n=24=(10000)

2

,要求相加结果与相2n减只能由加法器进位输出信号完成。当进位输出信号为1时,即相当于2n,可实现减2n,因为设计要求被减数大于或等于减数,所以所得的差值就是A-B差的原码。

减法仿真图:下页图为4-1

;

分析结果:数A为9,数B为7,(1001)

2-(0111)

2

=(00010)

2

十进制9-7=2

并在七段译码显示器上显示02。(

]

/

]

2:加法电路的实现如下:

(1)加法原理:

A.通过开关S1——S9接编码器74LS147U12输入端,通过开关节高低电平使

译码显示器U5显示所置入的数A,同理,通过开关S10——S18接编码器74LS147U23输入端,通过开关节高低电平使译码显示器U22显示可置入数B。数A直接置入四位超前进位加法器74LS283的A1——A4端,74LS283的B1——B4端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S19上。

B.当开关S19接低电平时,B与0异或的结果为B,通过加法器74LS283完

成两个数A和B的相加。

C.由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用

另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)时加上6(0110),产生的进位信号送入译码器U10来显示结果的十位,U11显示结果的个位

(2)加法电路的实现:

用两片4位全加器74LS83和门电路设计一位8421BCD码加法器

A.由于一位8421BCD数A加一位数B有0到18这十九种结果。

a)两个 8421 码相加,其和仍应为8421 码,如不是 8421 码则结果错误。

如:

b)!

c)产生错误的原因是 8421BCD码为十进制,逢十进一,而四位二进制是

逢十六进一,二者进位关系不同,当和数大于 9 时,8421BCD应产生

进位,而十六进制还不可能产生进位。为此,应对结果进行修正。当运

算结果小于等于 9 时,不需修正或加“0”,但当结果大于 9 时,应修

正让其产生一个进位,加0110即可。如上述后两种情况:故修正电路应含一个判 9 电路,当和数大于 9 时对结果加0110,小于等于 9 时加0000。

除了上述大于 9 时的情况外,如相加结果产生了进位位,其结果必定大于 9,所以大于 9 的条件为

图4-2

图4-3

B. 另一种设计:当大于9的时候要加六转换才能正常显示,所以设计的时候有如下的真值表:

小朋友加减法窍门及100以内加减法练习题

班级:姓名: 20+5= 64-32= 87-72= 88-8= 48-29= 62+26= 86-77= 39-36= 75+24= 51+27= 8+91= 24+43= 13+74= 89-53= 74-55= 80+18= 6+67= 1+18= 93-33= 55+5= 100-57= 34+53= 23-17= 76-65= 72+23= 43+42= 75-14= 40-33= 68+15= 10+32= 76+14= 64-4= 62+32= 83-62= 68-11= 78-15= 69-8= 10+15= 50+16= 98-44= 2+94= 76+4= 65+9= 21+5= 100-56= 29+67= 34-16= 36+49= 39-23= 95-83= 45+13= 82+13= 17+43= 13+55= 93-38= 91-37= 59-54= 73+23= 78-57= 4-1= 95-26= 68-44= 84+1= 57+19= 71-17= 3+67= 39+9= 9+5= 54+46= 90-29= 22+38= 52-11= 89-42= 27-14= 33-9= 44-28= 29+30= 58-8= 8+10= 83-31= 12+7= 50-19= 74-68= 58+16=

20+26= 45-43= 21+35= 34-13= 65-23= 48+7= 27+34= 100-28= 2+55= 89-67= 37+47= 44-31= 5+48= 42+2= 59+3= 96-43= 48-7= 16-14= 92-63= 17+1= 30+41= 48-47= 50+18= 67+12= 53+22= 63+10= 65-21= 16+70= 59-38= 23+24= 46-29= 23-6= 8+72= 12+58= 80-6= 9+54= 99-45= 68-6= 51-49= 7+5= 59-5= 32-1= 2+75= 81+18= 92-83= 95-75= 24-3= 39-13= 17+3= 78+4= 81-2= 72+10= 55-16= 74-49= 70+26= 26+50= 69-29= 39+45= 80-61= 83+7= 45-35= 29+24= 72+7= 48+27= 60-29= 21+55= 1+35= 31-20= 71+25= 94-25= 23+41= 20+55= 43+1= 68+6= 57-44= 97-7= 50+23= 83-22= 25+58= 49+25= 46-28= 47-6= 5+91= 66+34=

加减法运算电路设计

电子课程设 ——加减法运算电路设计¥ 学院:电信息工程学院; 专业:电气工程及其自动化 班级: 姓名: 学号: 指导老师:闫晓梅 2014年12月 19日

加减法运算电路设计 一、设计任务与要求 # 1.设计一个4位并行加减法运算电路,输入数为一位十进制数, 2.作减法运算时被减数要大于或等于减数。 灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算模式,运算完毕,所得结果亦用数码管显示。 4.系统所用5V电源自行设计。 二、总体框图 1.电路原理方框图: % 图2-1二进制加减运算原理框图 2.分析: 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010), 如(1001) 2和(0111) 2 ,同时在两个七段译码显示器上显示出对应的十进制数 9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,

所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 例如: 若选择加法运算方式,则(1001) 2+(0111) 2 =(10000) 2 十进制9+7=16, 并在七段译码显示器上显示16; 若选择减法运算方式,则(1001) 2-(0111) 2 =(00010) 2 十进制9-7=2, 并在七段译码显示器上显示02。 三、选择器件 ~ 1.器件种类: } ^ 表3-1 2.重要器件简介: (1)[ (2). 4位二进制超前进位加法器74LS283:完成加法运算使用该器件。 1).74LS283 基本特性:供电电压:输出高电平电流:输出低电平电流: 8mA。 2).引脚图:

加法运算定律的简便运算题

加法运算定律的简便运算题(一) 1)500+(407+0)= 3)42+(91+158+109)= 5)(246+387+154)+13= 7)255+(79+45)= 9)219+175+181+225= 11)(404+195+96)+305= 13)(106+45+94)+155= 15)25+(251+275+49)= 17)(83+33+17)+67= 19)41+(33+59)= 21)1000+499= 23)63+(82+137)+118= 25)76+(44+124)+156= 27)108+215+292+185= 29)108+(221+192+79)= 31) 56+(143+144)= 33)(198+252+102)+48= 35)434+238+66= 37)82+(78+218+222)= 39)254+(144+246+356)= 41)62+219+238+81= 2)386+382+114= 4)(87+103+113)+97= 6)49+(71+151+129)= 8)(169+39+131)+261= 10)14+498+486= 12)793+393= 14)433+(477+67)+23= 16)51+(5+49)= 18)196+97= 20)290+171+210+329= 22)226+(166+74)= 24)354+479+146= 26)270+(96+230+404)= 28)(89+89)+(11+11)= 30)257+60+143+340= 32) (259+349+141)+51= 34)80+(43+20+57)= 36)92+(34+108)+166= 38)(54+150)+(146+50)= 40)176+(236+124)+64= 42)(6+66+94)+34=

设计一个一位十进制加减法++数字电路课程设计报告

课程设计报告 课程:微机系统与接口课程设计学号: 姓名: 班级: 教师:

******大学 计算机科学与技术学院 设计名称:设计一个一位十进制加减法器 日期:2010年1月 23日 设计内容: 1、0-9十个字符和“+”“-”分别对应一个按键,用于数据输入。 2、用一个开关控制加减法器的开关状态。 3、要求在数码显示管上显示结果。 设计目的与要求: 1、学习数字逻辑等电路设计方法,熟知加减法器、编码器、译码显示的工作原理及特点; 2、培养勤奋认真、分析故障和解决问题的能力。 设计环境或器材、原理与说明: 环境:利用多功能虚拟软件Multism8进行电路的制作、调试,并生成文件。器材:74LS283或者4008, 4个异或门(一片74LS86)(减法);74LS08,3输入或门(加法) 设计原理: 图1二进制加减运算原理框图 分析:如图1所示,第一步置入两个四位二进制数(要求置入的数小于1010), 如(1001) 2和(0111) 2 ,同时在两个七段译码显示器上显示出对应的十进制数 9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,

所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 设计过程(步骤)或程序代码: 实验电路: 1:减法电路的实现: (1):原理:如图1所示(如下),该电路功能为计算A-B。若n位二进制 原码为N 原,则与它相对应的补码为N 补 =2n-N 原 ,补码与反码的关系式为N 补 =N 反 +1, A-B=A+B 补-2n=A+B 反 +1-2n (2):因为B○+1= B非,B○+0=B,所以通过异或门74LS86对输入的数B求 其反码,并将进位输入端接逻辑1以实现加1,由此求得B的补码。加法器相加的结果为: A+B 反 +1, (3):由于2n=24=(10000) 2 ,相加结果与相2n减只能由加法器进位输出信号完成。当进位输出信号为1时,它与2n的差为0;当进位输出信号为0时,它与2n差值为1,同时还要发出借位信号。因为设计要求被减数大于或等于减数,所以所得的差值就是A-B差的原码,借位信号为0。

四年级下学期加减法简便计算题汇编

简便计算 1)88+104+96 2)(69+172)+28 3)115+132+118+85 4)425+14+186 5)75+168+25 6)245+180+20+155 7)67+(25+33)+75 8)31+67+19 9)56+72+28 10)24+42+76+58 11)60+255+40 12)282+41+159 13)548+52+468 14)135+39+65+11 15)13+46+54+87 16)55+137+45+63 17)582+46+54 18)632+284+168+116 19)43+81+67+19 20)46+27+83 21)92+56+44+8 22)58+66+42 23)135+86+265 24)63+45+137 25)365+137+135+263 26)88+729+112 27)116+342+658+284 28)7+53+216+84+40 29)46+45+55 30)45+39+75 31)70+156+30 32)186+31+169 33)42+81+58+19 34)114+120+18+62 35)(138+73)+227

36)182+53+37+18 37)120+653+47 38)119+345+181+55 39)412+35+65 40)141+27+59+73 41)75+36+64 42)165+235+209 43)39+25+61+75 44)527+39+73 45)231+52+48 46)146+29+71+54 47)138+63+37 48)202+36+98 49)122+78+125 50)456+159+41+544 51)203+204+206+207 52)465+186+35 53)25+68+32 54)78+315+62 55)376+118+24 56)185+29+31 57)15+16+75+14+3 58)84+32+28+66+30 59)56+72+48+14 60)36+63+74+57 61)113+131+129+77 62)42+27+73 63)98+265+202 64)39+182+61+118 65)468+275+132+225 66)234+172+366+428 67)381+864+1619 68)89+124+11+26 69)258+791+642 70)127+184+133+116 71)145+81+55+119

一年级数学100以内加减法计算题

15-4=4+37=30-3=24-9=70+3=40+6=25+4=38-8=39+8=19+60=95-40=82-5=78+5=37+9=70+13=84-2=68+7=27+60=68-9=60-7=87+9=38-9= 71+9= 39+10= 99+1= 88-9= 70-5= 39+8= 58+25= 58-10= 30-3= 48+7= 18+7= 20+5= 64-32= 87-72= 50-24= 88-8= 48-29= 62+26= 68-26= 86-77= 75+24= 42+49= 51+27= 8+91= 24+43= 67-56= 13+74= 89-53= 74-55= 86+12= 80+18= 6+67= 1+18= 91-18= 93-33= 55+5= 100-57= 48-16= 34+53= 23-17= 76-65=

72+23=43+42=75-14=39+28=40-33=68+15=10+32=14+13=76+14=64-4=62+32=17+59=83-62=68-11=78-15=28+34=69-8=10+15=50+16=21+37=98-44=76+4= 66+30= 65+9= 21+5= 100-56= 98-96= 29+67= 34-16= 36+49= 38+38= 39-23= 95-83= 45+13= 33+41= 82+13= 17+43= 13+55= 84-53= 93-38= 91-37= 59-54= 73+23= 78-57= 4-1= 2+45= 95-26= 68-44= 84+1= 16+21= 57+19= 71-17= 3+67= 34-18= 39+9= 9+5= 54+46= 5+31= 90-29= 22+38= 52-11= 25+73= 89-42=

加减法运算电路设计

电子课程设 ——加减法运算电路设计 学院:电信息工程学院 专业:电气工程及其自动化 班级: 姓名: 学号: 指导老师:闫晓梅 2014年12月19日

加减法运算电路设计 一、设计任务与要求 1.设计一个4位并行加减法运算电路,输入数为一位十进制数, 2.作减法运算时被减数要大于或等于减数。 3.led灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算 模式,运算完毕,所得结果亦用数码管显示。 4.系统所用5V电源自行设计。 二、总体框图 1.电路原理方框图: 图2-1二进制加减运算原理框图 2.分析: 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010), 如(1001) 2和(0111) 2 ,同时在两个七段译码显示器上显示出对应的十进制数 9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。

例如: 若选择加法运算方式,则(1001)2+(0111)2=(10000)2 十进制9+7=16,并在七段译码显示器上显示16; 若选择减法运算方式,则(1001)2-(0111)2=(00010)2十进制9-7=2,并在七段译码显示器上显示02。 三、选择器件 1.器件种类: 表3-1 2.重要器件简介: (1) . 4位二进制超前进位加法器74LS283:完成加法运算使用该器件。 1).74LS283 基本特性:供电电压: 4.75V--5.25V 输出高电平电流: -0.4mA 输出低电平电流: 8mA 。 2).引脚图: 图3-1 引出端符号: A1–A4 运算输入端 B1–B4 运算输入端 C0 进位输入端 序号 元器件 个数 1 74LS283D 2个 2 74LS86N 5个 3 74LS27D 1个 4 74LS04N 9个 5 74LS08D 2个 6 七段数码显示器 4个 7 74LS147D 2个 8 开关 19个 9 LM7812 1个 10 电压源220V 1个 11 电容 2个 12 直流电压表 1个

600道100以内加减法口算题(A4直接打印)

一年级100以内口算题用时:错误: 58-19= 74-68= 58+16= 12+7= 18+56= 27+70= 53-4= 49+25= 79-32= 24+49= 23-10= 66+34= 20+26= 45-43= 21+35= 5+91= 34-13= 65-23= 48+7= 47-16= 27+34= 100-28= 21+55= 25+58= 89-67= 37+47= 44-31= 49+25= 5+48= 42+2= 59+3= 97-7= 96-43= 48-17= 16-14= 50+23= 92-63= 17+11= 30+41= 68+6= 48-47= 50+18= 67+12= 43+12= 53+22= 63+10= 65-21= 46-28= 16+70= 59-38= 23+24= 83-22= 46-29= 23-6= 8+72= 57-44= 12+58= 80-6= 9+54= 94-25= 99-45= 68-6= 51-49= 20+55= 7+15= 59-5= 32-9= 23+41= 12+75= 81+18= 92-83= 74-49= 95-75= 24-13= 39-13= 55-16= 17+3= 78+4= 81-2= 72+10= 41+39= 89-88= 74-33= 47+35= 79+8= 36+22= 92-66= 93-8= 91-11= 58-12= 61+25= 56-11= 42+20= 92-36= 80-12= 35+20= 65-59= 33+58= 100-44= 14+14= 32+64= 48+13= 39-4= 71-58= 96-38= 57+13= 89-54= 33-17= 18-11= 24+53= 91-9= 48-25=

加减法运算电路设计

加减法运算电路设计 1.设计内容及要求 1.设计一个4位并行加减法运算电路,输入数为一位十进制数,且作减法运算时被减数要大于或等于减数。 2.led 灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算模式,运算完毕,所得结果亦用数码管显示。 3.提出至少两种设计实现方案,并优选方案进行设计 2.结构设计与方案选择 2.1电路原理方框图 电路原理方框图如下 → → 图1-1二进制加减运算原理框图 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010),如(1001)2和(0111)2,同时在两个七段译码显示器上显示出对应的十进制数9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 即: 若选择加法运算方式,则(1001)2+(0111)2=(10000)2 十进制9+7=16 并在七段译码显示器上显示16. 若选择减法运算方式,则(1001)2-(0111)2=(00010)2十进制9-7=2 置数 开关选择运算方式 加法运算电路 减法运算 电路 译码显示计算结果 显示所置入的两个一位十进制数

并在七段译码显示器上显示02. 2.2加减运算电路方案设计 2.2.1加减运算方案一 如图2-2-1所示:通过开关S2——S9接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U13和U15分别显示所置入的两个数。数A 直接置入四位超前进位加法器74LS283的A4——A1端,74LS283的B4——B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关S6——S9,通过开关S6——S9控制数B的输入。当开关S1接低电平时,B与0异或的结果为B,通过加法器74LS283完成两个数A和B的相加。当开关S1接高电平时,B与1异或的结果为B非,置入的数B在74LS283的输入端为B的反码,且74LS283的进位信号C0为1,其完成S=A+B (反码)+1,实际上其计算的结果为S=A-B完成减法运算。由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)时加上6(0110),产生的进位信号送入译码器U10来显示结果的十位,U11显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不会出现上述情况,用一片芯片U11即可显示结果。 2.2.2加减运算方案二 由两异或门两与门和一或门组成全加器,可实现一位二进制加逻辑运算,四位二进制数并行相加的逻辑运算可采用四个全加器串行进位的方式来实现,将低位的进位输出信号接到高位的进位输入端,四个全加器依次串行连接,并将最低位的进位输入端接逻辑“0”,就组成了一个可实现四位二进制数并行相加的逻辑电路。 通过在全加器电路中再接入两个反相器可组成一个全减器,实现一位二进制减逻辑运算,将来自低位的错位信号端接到向高位借位的信号端,依次连接四个全减器,构成可实现四位二进制数并行进行逻辑减运算的电路。 在两组电路置数端接开关控制置数输入加法还是减法运算电路,电路输出端接LED灯显示输出结果,输出为五位二进制数。

加减运算电路设计

本科生实验报告 课程名称:模拟电子技术实验A 实验名称:加减运算电路设计 学院: 专业班级: 学生姓名: 学号: 实验时间: 实验地点: 指导教师:

根据反相与同相加法运算电路的运算关系,输出电压与各个输人电压的运算的关系为 单运放加减运算电路的外电路阻值不易计算和调整,双运放电路不仅克服了,上述缺点,而且对运放本身共模抑制比的要求也较低,如图6-2-2所示。 根据反相求和电路输出与输入关系,可得 若取RF1=R4,则

实验内容及步骤: 设计一个能完成的运算电路。要求选用单运放加减电路实现,其输出失调电压 1.电路形式及集成运算放大器的选择 电路形式如图6-2-1所示,集成运算放大器采用μA741,其输人失调电流=100~300nA 2.元器件参数的计算 (1)反馈电阻Rp的计算。Rp的最大值由运放允许的输出失调电压 和输人失调电流决定,即 其中,的大小按手册给定值或实测;为设计要求之一,包括输人失调电压,所引起的,而。与各电阻有关,故。为未知,所以只能按式(6-2-5) 取RF的值。 若未提此项要求,则Rr可在低于1MΩ内选取。RF值不宜过大,因为RF值越大,误差电压和噪声及漂移也越大; RF值也不宜过小,因为RF是负载的一部分,若过小,运放容易过载。 题意取,则 取RF=30kΩ (2)R1、R2、R3、R4的确定。设反向端、同向端各自输人信号为零时的直流等效电阻 RN、RP的值相等,可按反相求和原则计算R1、R2、R3、R4的值。

根据题目要求,则 (3)电阻R5的确定。R5是使RN=RP的平衡电阻,故首先计算在不包括R5时的反相端,同相端各自输入信号为零时的直流等效电阻RA和RB,即 4.电路的安装与调试 (1)静态的测试检查。 1)按电路图6-2-1搭接好实验电路,并细心检查运放组件各管脚位置的连接,切忌正负电源极性接反和输出端短路,否则会损坏集成块,确认无误后方可接通直电源。 2)将输入端接地,用万用表直流电压挡的相应量程测量输出端;此时,如果万用表显示不为零,则需要调整调零电位器旋钮,使输出端电压为零,在调零过程中,万用表的量程应从2V开始逐步变小,直至在毫伏级的量程下,测量输出为零时,结果最精确。此后的测量应保持电位器滑动端位置不变。 (2)动态测试。 1)当静态检查正常以后,将直流电源切断,输人端与“地”断开。 2)先对各输入信号电压进行初测,使其不超过规定的数值,然后

100以内加减法练习题-附答案

6+42=16+56=90+3=55-27=48+39=3+68=3+66=95-11=14+64=38-10=8+20=76-45=81-46=64+31=20+78=79-28=59+10=65+8=81-73=45+30=75-28=70-54=3+91=97-90=31+57=47+29= 81-34= 56-48= 12+48= 68+24= 80-44= 93-21= 14+47= 37+63= 42+35= 21+50= 68-39= 82-3= 64-14= 1+27= 21+19= 47-10= 66+2= 77-60= 44-25= 20-4= 37+49= 59+37= 93-65= 49-39= 34+62= 13+77= 53-23= 46+27= 24+12= 4+29= 27-10= 73+7= 76-19= 22+6= 70-58= 81+19= 46-8= 80-53= 40-27= 48-40= 68-50= 39+21= 2+19= 82-49= 7+41= 74-41= 69+6= 87-20= 50+21= 26+65= 32+5= 23+4= 29-2= 52+25= 32-16= 78-73= 32+35= 31+21= 97-42= 83-59= 92-43= 34-10= 2+58= 75-33= 61+11= 79-48= 73-49= 49-35= 51+38= 25+8= 98-48= 46+32= 83-33= 33-12=

80-5=42-7=21+68=62-42=2+44=99-16=14+66=68-18=60+17=28+50=72+2=24+63=17+81=95-62=5+26=58+3=6+54=89-80=39+48=31+8=99-67=69-30=14+16=34+11=31+29=59-9= 23+46= 68-3= 98-33= 94-76= 3+48= 32+10= 24+19= 42-11= 2+64= 16+67= 73-39= 87-31= 73-31= 36+6= 12+14= 92-17= 15+43= 71-9= 16+67= 9+61= 29+69= 60+20= 61-32= 23+42= 75+16= 57-7= 19+40= 59-39= 97-5= 53-11= 34+54= 51-31= 40+36= 55+3= 60-42= 46+5= 28+37= 21-7= 12+25= 58+19= 43+42= 37+23= 88-34= 38+49= 37+50= 22+11= 4+41= 75+25= 98-21= 12+39= 94-52= 35+31= 63-34= 69+14= 90-80= 22-12= 89-81= 98-90= 53+3= 87-45= 50-13= 79-42= 69-16= 80-75= 14-5= 73-48= 12+28= 45-35= 42-2= 92-3= 46+49= 7+35= 64-11= 27+57=

简易加减法计算器

电子技术课程设计 题目:简易加减法计算器 一、设计课题:简易加减法计算器 二、设计任务和要求: 1、用于两位以下十进制数的加减运算。 2、以合适方式显示输入数据及计算结果。 三、原理电路设计 1、方案的比较 对于简单加减计算器可有三种不同的方案 ①用数/模转换,与模拟电路中的加减计算器进行简单的加减计 算。先用74LS147二-十进制优先编码器转化为二进制进行输A,然后数模转化模拟信号,进行加减计算后,转化为数字信号输 出。 此方案思路较明确,但经过二次数模相互转换,精确率较低; 具体输出时的负数效应,与单输入的二进制转化为十进制时电 路较复杂,无成块的集成电路,致使误差率较大。 ②可用数字电路中4位超前进位加法器74LS283与方案一输入相 同;后用三态输出CMOS门电路进行选择输入,进行加法运算

后输出,输出时,注意负数的问题与在输出中2进制与10进制关系的问题。还有寄存器的问题。 此方案思路明确,比较精确,此中的2进制与10进制问题需复杂门电路解决无现成集成元件,存在太多的散元件。减法运算需要反码进行运算,况且在其触发过程中需要考虑同步问题。 ③可运用数字电路中的单时钟同步十进制加/减计数器74LS190 进行加减计算。方案以上升沿进行输入,触发加减计算。本方案输入方式不同于一般输入方式,需要有所改进。但思路明了,不十分复杂,对于负数运算较复杂,可集成程度较高。 终上所述,最好是相互结合,以③为本。 2、单元电路设计

3、元件的选择

对于计数器来说需要选同时可以进行加减计数的计数器进行 加减,因此选用单时钟十进制加/减计数器74LS190. 其电路图及功能表如下: 中间由于1/0的输出不能够持久的进行保持,因此可用RS触 发器进行保持。对于加/减,等于触发需要74LS194进行触发 保持 4、整体电路(见附图) 5、工作原理 主要运用十进制加/减计数器74LS190加/减计数功能与74LS194的触发 功能。 六、设计总结 我们以为,在这学期的实验中,在收获知识的同时,还收获了阅历,收获了成熟,在此过程中,我们通过查找大量资料,请教别人,以及不懈的努力,不仅培养了独立思考、动手操作的能力,在各种其它能力上也都有了提高。更重要的是,在实验课上,我们学会了很多学习的方法。而这是日后最实用的,真的是受益匪浅。要面对社会的挑战,只有不断的学习、实践,再学习、再实践。而且,这对于我们的将来也有很大的帮助。以后,不管有多苦,我想我们都变苦为乐,找寻有趣的事情,发现其中珍贵的事情。就像中国提倡的艰苦奋斗一样,我们都可以在实验结束之后变的更加成熟,会面对需要面对的事情。 因为由于时间的紧缺和许多课业的繁忙,并没有做到最好,但是,最起码我们没有放弃,它是我们的骄傲!相信以后我们会以更加积极地态度对待我们的学习、对待我们的生活。我们的激情永远还会结束,

人教版四年级加减法简便计算专项练习题

四年级运算定律与简便计算练习题 姓名:评价: 一、运算定律。 加法交换律:。字母表示为: 加法结合律:。字母表示为: 一个数连续减两个数,可以先算两个减数的和,再相减。字母表示为: 如果小括号前面前面是减号,去掉小括号,要改变括号里的运算符号。字母表示为: 二、能简算就简算。 403+627+597 355+260+140+245 99+321+101 (725+139)+261 (245+138)+(62+155) 360+360÷40 527-145-55 375-168-75 469-128-169-72 1000-125-640-235 487-287-139-61 525-525÷5 467+92-267 36+64-36+64 325-64+75-36

?我会自学简便计算 ? 姓名: 自学结果教师评价: 自学结果家长评价:1、分解法。 小试身手: 例1:998 +322 想:998只要加上2即可得 197 +203 =998+2+320 1000,所以将322分解=(998+2)+320 成“322=2+320”,再=1000+320 用加法结合律。 =1320 例2:480-301 想:301是用300加上1得来的。690 -203 =480-300-1 所以将301分解为300和1。=180-1 然后,先减去300,再减1,不就是=179 总共减去301了吗。 2、借数还数法。 例1:486-198 想:通过观察,发现198个只差2个635-99 =486-200+2 即可得200,所以将198先跟空气?=286+2 借来2个组成200,再用486减去200,=288 这时,200里面多了个借来的2也被减去了,怎么办,还回来,所以得+2,懂了吗。 3、大显身手。 564-298 700-201 637+299 782-498 398+122 549+301 借得2个才满200哟, 记得还,再借不难。 借去的2个已经减掉了,所以还给你了哟还。 我得分开减 它要两个,送 2个给它呗。

加减法运算电路的课程设计

加减法运算电路的设计 一、设计任务 设计参数 设计一个一位十进制并行加(减)法运算电路;通过按键输入被减数和减数,并设置+、-号按键;允许减数大于被减数,负号可采用数码管或其他显示器件,并利用LED灯显示计算结果。 设计要求 根据技术参数设计电原理图;计算并选择电路元件及参数;仿真调试电路。 二、设计方案 设计电路原理: 1、置入两个四位二进制数。例如(1011)2,(0011)2和(0111)2,(0110)2,同时在两个七段译码显示器上显示出对应的十进制数10,3和7,6 2、通过开关选择加(减)运算方式 3、若选择加运算方式所置数送入加法运算电路进行运算;若选择减运算方式,则所置数送入减法运算电路运算 4、前面所得结果通过另外两个七段译码器显示 即显示结果: 若选择加法运算方式,则(0011)2+(0110)2=(1010)2 十进制3+6=9 并在七段译码显示器上显示 9 若选择减法运算方式,则(0101)2-(1000)2=(10011)2十进制5-8= -3 并在七段译码显示器上显示 -3 设计电路运算方案: 通过开关S1——S8接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U15和U16分别显示所置入的两个数。数A直接置入四位超前进位加法器74LS283的A4——A1端,74LS283的B4——B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关S5——S8,通过开关S5——S8控制数B的输入。当开关S1接低电平时,B与0异或的结果为B,通过加法器74LS283完成两个数A和B的相加。当开关S1接高电平时,B与1异或的结果为B非,置入的数B在74LS283的输入端为B 的反码,且74LS283的进位信号C0为1,其完成S=A+B(反码)+1,实际上其计算的结果为S=A-B完成减法运算。由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)2时加上3(0011)2,产生的进位信号送入译码器U13来显示结果的十位,U12显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不会出现上述情况,用一片芯片U12即可显示结果。 三、电路设计 加法电路的实现 用两片4位全加器74LS283和门电路设计一位8421BCD码加法器。 由于一位8421BCD数A加一位数B有0到18这十九种结果。而且由于显示的关系,当大于9的时候要加六转换才能正常显示。

四年级加减法运算定律与简便运算练习题

四年级运算定律与简便运算练习题 (一)加、减法运算定律 1. 加法交换律 定义:两个加数交换位置,和不变。 字母表示:a+b=b+a 例如:16+23=23+16 546+78=78+546 2. 加法结合律 定义:先把前两个数相加,或者先把后两个数相加,和不变。字母表示:(a+b)+c=a+(b+c) 例1.用简便方法计算下式: (1)63+16+84 (2)76+15+24 (3)140+639+860 (4)63+1.6+8.4 (5)0.76+15+0.24 (6)1.4+639+8.6 举一反三: (1)46+67+54 (2)680+485+120 (3)155+657+245 (4)0.46+67+0.54 (5)6.80+485+1.20 (6)1.55+657+2.45

3.减法交换律、结合律 注:减法交换律、结合律是由加法交换律和结合律衍生出来的。 减法交换律:如果一个数连续减去两个数,那么后面两个减数的位置可以互换。 字母表示:b-c-a=c-b-a 例2. 简便计算: 198-75-98 346-58-46 7453-289-253 减法结合律:(1)如果一个数连续减去两个数,那么相当于从这个数当中减去后面两个数的和。 字母表示:a-b-c=a-(b+c) *****同学关键就是错这个概念,重点看 (2)如果一个数减去一个数,再加一个数,那么相当于从这个数当中减去后面两个数的差。 字母表示:a-b+c=a-(b-c) 例3.简便计算: (1)369-45-155 (2)896-580-120 (3)1823-254-746

(4)176-(76+52) (5) 268-(68+15) (6)345-(38+45) (7)156-48+48 (8)96-75+25 (9)164-57+37 (10)457-(158-43) (11) 186-(98-14) (12)234-(88-66) 4.拆分、凑整法简便计算 拆分法:当一个数比整百、整千稍微大一些的时候,我们可以把这个数拆分成整百、整千与一个较小数的和,然后利用加减法的交换、结合律进行简便计算。例如:103=100+3,1006=1000+6,… 凑整法:当一个数比整百、整千稍微小一些的时候,我们可以把这个数写成一个整百、整千的数减去一个较小的数的形式,然后利用加减法的运算定律进行简便计算。例如:97=100-3,998=1000-2,… 例4.计算下式,能简便的进行简便计算: (1)89+106 (2)56+98 (3)658+997

加减法运算器的设计与实现

计算机组成原理实验实验二加减法运算器的设计与实现 专业班级:计算机科学与技术 学号:0936008 姓名:冯帆 学号:0936036 姓名:张琪 实验地点:理工楼901

实验二加减法运算器的设计与实现 一、实验目的 1、掌握加减法运算器的原理图设计方法 2、掌握加减法运算器的V erilog HDL语言描述方法 3、理解超前进位算法的基本原理 4、掌握基于模块的多位加减运算器的层次化设计方法 5、掌握溢出检测方法和标志线的生成技术 6、掌握加减运算器的宏模块设计方法 二、实验内容 1、完成一个4位行波进位的加减法运算器,要求有溢出和进位标志(参阅P75-82 ,P86),并封装成模块。 2、修改上述加减运算器改为超前进位加法运算器,并封装成模块。(参阅P72-75) 3、在上述超前进位加法运算器的基础上,用基于模块的层次化设计方法,完成一个16位行波进位的加法运算器。//组内超前进位,组间行波进位 4、用宏模块的方法实现一个8位加减运算器。 三、实验仪器及设备: PC机+ QuartusⅡ9.0 + DE2-70 四、实验步骤 1、新建工程。 2、新建verilog文件。

3、分析寄存器程序代码并编译。 附代码如下: /*四位行波进位加减法器*/ `define WEISHU 4 module hbjw(a,b,cin,sub,cout,s,overflow); input [`WEISHU-1:0]a; input [`WEISHU-1:0]b; input cin; input sub; output cout; output [`WEISHU:0]s; output overflow; wire w_0; wire w_1; wire w_2; wire w_3; wire [`WEISHU:0]w; assign w=sub?(~b+1'b1):b; assign {w_0,s[0]}=a[0]+w[0]+cin;

加减运算电路的设计及分析

实验2《电子技术》课程设计任务书 设计工作计划 本设计时间为2天,具体安排如下: 熟悉课设目标,查阅相关资料,对相关理论进行剖析:天 设计电路图,计算相关参数,根据电路图进行仿真与测量:1天 撰写报告:天

1. 实验原理 通常在分析运算电路时均设集成运方位理想运放,因而其输入端的净输入电压和净输入电流均为0,即具有“虚短路”和“虚断路”两个特点,这是分析运算电路输出电压和输入电压关系的基本出发点。 从对比例运算电路的分析可知,输出电压与同相输入信号电压极性相同,与反相输入端电压极性相反,因而如果多个信号同时作用于两个输入端,那么必然可以实现加减运算电路。 第一级电路实现加减运算,第二级电路通过运用反响比例运算电路来放大第一级的输出信号。 图(a ) 根据虚断iN=iP=0 (1) 虚短UN=UP (2) iN=(U1-UN )/R1+(U2-UN )/R2-(Uo1/Rf1-UN ) (3) iP=(U3-UP )/R3 (4) 根据式(1)(2)(3)(4)可知,当满足R1//R2//Rf=R3时 Uo1=Rf1(U3/R3-U2/R2-U1/R1) OPAMP_3T_VIRTUAL Rf1100kΩ 图(b )这是一个电压串联负反馈电路 根据电路分析可得U02=-Uo1*Rf2/R5 将两级电路连到一起,可得

U1 OPAMP_3T_VIRTUAL U2 OPAMP_3T_VIRTUAL R1 50kΩ R2 50kΩ R3 40kΩ Rf1 100kΩ R5 40kΩ R6 20kΩ Rf2 40kΩ R4 40kΩ 代入各具体数值可得Uo2=(2Uo1+) 2.用软件的仿真结果 U1 OPAMP_3T_VIRTUAL U2 OPAMP_3T_VIRTUAL R1 50kΩ R2 50kΩ R3 20kΩ Rf1 100kΩ R5 40kΩ R6 20kΩ Rf2 40kΩ XSC1 A B C D G T XFG1 XFG2 XFG3 实验结论 当U1=,U2=,U3=时,Uo2=,与仿真实验结果一样。

100以内加减法练习题

姓名班级时间 9 + 22 = 38 + 2 = 35 + 7= 80+20 = 6+27 = 36 + 7 = 30+56 = 40+26 = 23 + 8 = 2 + 28 = 9 + 72 = 23+60 = 4 + 58 = 8 + 15 = 50 + 4 = 8 + 64 = 8 + 83= 6 + 85 = 9 + 80 = 25 + 7 = 29 + 3 = 7 + 38 = 20 + 30 = 20 + 6 = 77 + 8 = 53 + 8 = 76 + 9 = 6 + 53 = 16 + 5 = 19 + 5 = 6 + 55 = 3 7 + 4 = 3 + 4 8 = 35 + 8 = 3 + 4 9 = 59 + 6 = 6 + 55 = 9 + 53 = 28 + 6 = 27 + 6 = 8 + 66 = 3 + 28 = 49 + 4 = 47 + 4 = 56 + 8 = 86 + 5 = 9 + 21 = 8 + 25 = 3 + 69 = 36 + 6 = 47 + 2 = 2 + 57 = 9 + 56 = 29 + 5 = 44 + 8 = 32+9= 58+7= 4+78= 8+47= 79+3=

姓名班级时间 60 – 3 = 80 – 2 = 50 –7 = 60 – 5 = 80 – 3 = 80 – 9 = 30 – 3 = 70 – 3 = 80– 8 = 60 – 2 = 70 – 1 = 60 – 4= 80 – 5 = 70 – 6 = 80 – 4 = 21 – 2 = 75 – 7 = 67– 9 = 57 – 8 = 83 – 5 = 31 – 3 = 32 – 3 = 56 – 8 = 48 – 9 = 84 – 5 = 52 – 3 = 76 – 7 = 53 – 5 = 57– 9 = 41 – 2 = 72 – 7 = 92 – 7 = 81 – 4 = 58 – 9 = 72 – 5 = 43 – 4 = 91 – 4 = 84 – 5 = 75 – 6 = 71 – 5 = 83 – 4 = 72 – 7 = 64 – 6 = 75 – 9 = 64 – 6 = 56 – 7 = 84 – 8 = 81 – 2 = 98 – 9 = 66 – 8 = 76 – 8 = 86 – 7 = 43 – 4 = 66– 7 = 97 – 8 = 68-9= 54-6= 85-8= 46-7= 82-5=

相关文档
最新文档