四选一、四位比较器、加法器、计数器、巴克码发生器、七人表决器

四选一、四位比较器、加法器、计数器、巴克码发生器、七人表决器
四选一、四位比较器、加法器、计数器、巴克码发生器、七人表决器

EDA实验报告

姓名:

学号:

班级:

实验14选1数据选择器的设计

一、实验目的

1.学习EDA软件的基本操作。

2.学习使用原理图进行设计输入。

3.初步掌握器件设计输入、编译、仿真和编程的过程。

4.学习实验开发系统的使用方法。

二、实验仪器与器材

1.EDA开发软件一套

2.微机一台

3.实验开发系统一台

4.打印机一台

三、实验说明

本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。

本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。

实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。学会管脚锁定以及编程下载的方法等。

四、实验要求

1.完成4选1数据选择器的原理图输入并进行编译;

2.对设计的电路进行仿真验证;

3.编程下载并在实验开发系统上验证设计结果。

五、实验结果

4选1数据选择器的原理图:

仿真波形图:

管脚分配:

实验2 四位比较器

一、实验目的

1.设计四位二进制码比较器,并在实验开发系统上验证。 2.学习层次化设计方法。 二、实验仪器与器材

1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其它器件与材料 若干 三、实验说明

本实验实现两个4位二进制码的比较器,输入为两个4位二进制码0123A A A A 和

0123B B B B ,输出为M (A=B ),G (A>B )和L (A

为输入,发光二极管作为输出,具体管脚安排可根据试验系统的实际情况自行定义。 四、实验要求

1.用硬件描述语言编写四位二进制码 比较器的源文件; 2.对设计进行仿真验证; 3.编程下载并在实验开发系统上进行 硬件验证。

四位比较器功能框图 五、实验结果

四位比较器VHDL 源文件:

library ieee;

use ieee.std_logic_1164.all; entity comp4 is

port( A3,A2,A1,A0: in std_logic; B3,B2,B1,B0: in std_logic; G,M,L: out std_logic); end comp4;

architecture behave of comp4 is begin

p1: process(A3,A2,A1,A0,B3,B2,B1,B0)

variable comb1,comb2: std_logic_vector(3 downto 0); begin

comb1:=A3&A2&A1&A0;

3A 2A 1A 0A B B B

comb2:=B3&B2&B1&B0;

if(comb1>com2) then G<=’1’; M<=’0’; L<=’0’;

elsif(comb1

else L<=’1’; G<=’0’; M<=’0’;

end if;

end process p1;

end behave;

仿真波形图:

管脚分配:

试验3 并行加法器设计

一、试验目的

1.设计一个4位加法器。

2.体会用VHDL进行逻辑描述的优点。

3,熟悉层次化设计方法。

二、试验仪器与器材

1.EDA开发软件一套

2.微机一台

3.试验开发系统一台

4.打印机一台

5.其他器材和材料若干

三、试验说明

本试验实现一个4位二进制数加法器,其功能框图如图所示。试验时用高低电平开关作为输入,用数码管作为输出(或用发光二极管),管脚锁定可根据试验系统自行安排。

四、实验要求

1

2

3

4

五、试验结果

4

library ieee;

entity adder4 is

cin: in std_logic_vector(3 downto 0);

sum: out std_logic_vector(3 downto 0);

count: out std_logic);

end adder4;

architecture behavioral of adder4 is

begin

p1:process(a,b,cin)

variable vsum: std_logic_vector(3 downto 0);

variable carry: std_logic;

begin

carry:=cin;

for i in 0 to 3 loop

vsum(i):=(a(i) xor b(i)) xor carry;

carry:=(a(i) and b(i)) or (carry and (a(i) or b(i)));

end loop;

sum<=vsum;

count<=carry; co

全加器功能框图

end process p1;

end behavioral;

仿真波形图:

管脚分配:

实验4 计数器设计

一、实验目的

计数器是实际中最为常用的时序电路模块之一,本实验的主要目的是掌握使用HDL描述计数器类型模块的基本方法。

二、实验仪器与器材

1.EDA开发软件一套

2.微机一台

3.实验开发系统一台

4.打印机一台

5.其他器材与材料若干

三、实验说明

计数器是数字电路系统中最重要的功能模块之一,设计时可以采用原理图或HDL语言完成。下载验证时的计数时钟可选连续或单脉冲,并用数码管显示计数值。

四、实验要求

1.设计一个带有计数允许输入端、复位输入端和进位输入端的十进制计数器。

2.编制仿真测试文件,并进行功能仿真。

3.下载并验证计数器功能。

4.为上述设计建立元件符号。

5.在上述基础上分别设计按8421BCD码和二进制计数的100进制同步计数器。

五、实验结果

十进制计数器程序:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity counter10 is

port(en,reset,clk:in std_logic;

q:buffer std_logic_vector(3 downto 0);

co:out std_logic);

end counter10;

architecture behav of counter10 is

begin

process(clk,en)

begin

if clk'event and clk='1' then

if reset='1' then q<="0000";

elsif en='1' then

if q<"1001" then q<=q+'1';

else q<="0000";

end if;

end if;

end if;

end process;

co<='1' when q="1001" else '0';

end behav;

仿真波形图:

管脚分配:

4_7译码器程序:

library ieee;

use ieee.std_logic_1164.all;

entity decoder4_7 is

port( insign: in std_logic_vector (3 downto 0);

outsign: out std_logic_vector (6 downto 0)); end decoder4_7;

architecture behave of decoder4_7 is

begin

process(insign)

begin

case insign is

when"0000"=>outsign<="0000001";

when "0001"=>outsign<="1001111";

when "0010"=>outsign<="0010010";

when "0011"=>outsign<="0000110";

when "0100"=>outsign<="1001100";

when "0101"=>outsign<="0100100";

when "0110"=>outsign<="1100000";

when "0111"=>outsign<="0001111";

when "1000"=>outsign<="0000000";

when "1001"=>outsign<="0001100";

when OTHERS=>outsign<="1111111";

end case;

end process;

end behave ;

100进制计数器原理图:

仿真波形图:

管脚分配:

实验5 巴克码发生器

一、实验目的

1.实现一个在通信领域中经常使用的巴克码发生器。

2.掌握用大规模可编程逻辑器件实现时序电路的方法。

二、实验仪器与器材

1.EDA开发软件一套

2.微机一台

3.实验开发系统一台

4.打印机一台

5.其它器件与材料若干

三、实验说明

巴克码发生器在数据通信、雷达和遥控领域有相当广泛的应用。它能自动产生周期性的序列码。本实验要求产生的序列码信号为(1110010),可以用寄存器或同步时序电路实现。为了能够通过实验开发系统验证试验结果,可以使用两个输入端,其中一个输出端同时输出巴克码,另一个输出端输出节拍。巴克码发生器的功能框图如图所示。

四、实验要求

1.写出全部设计文件。

2.编写测试向量,进行功能仿真。

3.下载并用实验板验证。

五、实验结果

巴克码发生器程序:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity back is

port(clk,reset:in std_logic;

dout1,dout2:out std_logic);

end back;

architecture behave of back is

signal count7:integer range 0 to 6;

begin

process(clk,reset)

begin

if reset='1' then count7<=0;

elsif clk'event and clk='1' then

if count7<6 then

count7<=count7+1;

else count7<=0;

end if;

end if;

dout2<=clk;

end process;

process(count7)

begin

case count7 is

when 0=>dout1<='1';

when 1=>dout1<='1';

when 2=>dout1<='1';

when 3=>dout1<='0';

when 4=>dout1<='0';

when 5=>dout1<='1';

when 6=>dout1<='0';

when others=>dout1<='0';

end case;

end process;

end behave;

仿真波形图:

管脚分配:

用VHDL设计七人表决器

实验原理

用个开关作为表决器的7个输入变量,输入变量为‘1’时表示“赞同”;输入变量为‘0’时表示不赞同。输出接到一二极管上,灯亮表示通过,灯不亮表示不同。采用行为描述的设计,用一变量count表示选举通过的总人数,即7个开关中按下的总数,如果count>3,则表示通过。

1、七人表决器的VHDL源程序

LIBRARY IEEE;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_unsigned.all;

ENTITY seven IS

PORT

(

k:IN std_logic_vector(6 downto 0);--七个输入

score:BUFFER std_logic --结果输出

);

END seven;

ARCHITECTURE behave OF seven IS

BEGIN

PROCESS(k)

V ARIABLE count:integer range 0 to 7;

BEGIN

count:=0;--初值赋值

FOR I IN 0 to 6 LOOP

IF(k(I) = '1') THEN

count:=count+1;

END IF;

END LOOP;

IF(count > 3) THEN --选举人数大于3就表示通过

score<='1';

ELSE

score<='0';

END IF;

END PROCESS;

END behave;

2、实验结果

进行软件仿真正确后,锁定管脚,下入程序进行硬件仿真,当按下按键数超过3个时,发光二极管亮。

3、个人体会

开始编写的程序只在定义count时赋了初值0,没有在每次统计7个开关中闭合数目前置零,所以所得到的结果不对。

汇编语言实现十进制加减计算器

课程设计 题目十进制数加减计算器学院计算机科学与技术 专业计算机科学与技术 班级计算机0808班 姓名何爽 指导教师袁小玲 2010 年12 月31 日

课程设计任务书 学生姓名:何爽专业班级:计算机0808班 指导教师:袁小玲工作单位:计算机科学与技术学院 题目: 十进制数加减计算器的设计 初始条件: 理论:学完“汇编语言程序设计”、“课程计算机概论”、“高级语言程序设计”和“数字逻辑”。 实践:计算机学院科学系实验中心提供计算机和软件平台。如果自己有计算机可以在其上进行设计。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)十进制数加减计算器的设计。 (2)程序应有操作提示、输入和输出,界面追求友好,最好是菜单式的界面。 (3)设计若干用例(测试数据),上机测试程序并分析(评价)所设计的程序。 (4)设计报告格式按附件要求书写。课程设计报告书正文的内容应包括: 在正文第一行写课程设计题目; 1.需求说明(要求、功能简述)或问题描述; 2.设计说明(简要的分析与概要设计); 3.详细的算法描述; 4.源程序与执行结果(含测试方法和测试结果); 5.使用说明; 6.总结,包括设计心得(设计的特点、不足、收获与体会)和展望(该 程序进一步改进扩展的设想)。 时间安排: 设计时间一周:周1:查阅相关资料。 周2:系统分析,设计。 周3~4:编程并上机调试。 周5:撰写课程设计报告。 设计验收安排:20周星期五8:00起到计算机学院科学系实验中心进行上机验收。 设计报告书收取时间:20周的星期五下午5:00之前。 指导教师签名: 2010年12月31日 系主任(或责任教师)签名: 2010年12月31日

简易波形发生器设计

摘要:单片机主要面对的是测控对象,突出的是控制功能,所以它从功能和形态上来说都是应测控领域应用的要求而诞生的。随着单片机技术的发展,它在芯片内部集成了许多面对测控对象的接口电路,如ADC、DAC、高速I/O接口、脉冲宽度调制器(Pulse Width Modulator,PWM)、监视定时器(Watch Dog Timer,WDT)等。这些对外电路及外设接口已经突破了微型计算机传统的体系结构,所以单片机也称为微控制器(Micro Controller)。 关键词:中央处理器;随机存储器;只读存储器

引言:一般函数发生器是由硬件组成的,它的输出频率范围宽,各项指标高,性能优良,因而在对输出波形要求较高的地方被广泛应用,这种仪器的缺点是电路复杂,成本高,输出波形种类不多,不够灵活。在对波形指标要求不高,频率要求较低的场合,可以用单片机构成一个波形发生器。产生所需要的各种波形,这样的函数发生器靠软件产生各种波形,小巧灵活,便于修改,且成本低廉,容易实现。 1设计概述 1.1 课程设计的目的 通过对本课题的设计,掌握A/D,D/A转换的应用,用单片机产生各种波形的方法及改变波形频率的方法。熟悉单片机应用系统的设计以及软硬件的调试。单片机本身并没有开发能力,必须借助开发工具即硬件开发环境才能进行开发。单片机的硬件开发环境有PC机、编程器和仿真机等。 1.2 设计的内容、要求 设计一个简易波形发生器,要求该系统能通过开关或按钮有选择性的输出正弦波、三角波、方波、及阶梯波等四种波形,并且这四种波形的频率均可通过输入电位器在一定范围内调节。 对于四种波形的切换,用两个开关的四种状态来表示(或用按钮)。选用常用的A/D转换芯片0809来实现模拟量的输入。D/A转换器选用0832来输出波形。

四选一、四位比较器、加法器、计数器、巴克码发生器、七人表决器

EDA实验报告 姓名: 学号: 班级:

实验14选1数据选择器的设计 一、实验目的 1.学习EDA软件的基本操作。 2.学习使用原理图进行设计输入。 3.初步掌握器件设计输入、编译、仿真和编程的过程。 4.学习实验开发系统的使用方法。 二、实验仪器与器材 1.EDA开发软件一套 2.微机一台 3.实验开发系统一台 4.打印机一台 三、实验说明 本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。 本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。 实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。学会管脚锁定以及编程下载的方法等。 四、实验要求 1.完成4选1数据选择器的原理图输入并进行编译; 2.对设计的电路进行仿真验证; 3.编程下载并在实验开发系统上验证设计结果。 五、实验结果 4选1数据选择器的原理图: 仿真波形图:

管脚分配:

实验2 四位比较器 一、实验目的 1.设计四位二进制码比较器,并在实验开发系统上验证。 2.学习层次化设计方法。 二、实验仪器与器材 1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其它器件与材料 若干 三、实验说明 本实验实现两个4位二进制码的比较器,输入为两个4位二进制码0123A A A A 和 0123B B B B ,输出为M (A=B ),G (A>B )和L (A

单片机实现简易波形发生器

电子信息工程专业 单片机课程设计报告 题目简易波形发生器姓名 学号 班级 指导教师 2013年7 月4 日

要求: 1.指导教师按照课程设计大纲要求完成学生课程设计指导工作。2.课程设计任务书由指导教师照大纲要求填写,内容要全面。 3.课程设计报告由参加本学生填写。课程设计结束时交指导教师。4.指导教师要根据每一位学生课程设计任务完成情况,认真审核设计报告,并在课程设计结束时,给出客观、准确的评语和成绩。 5.课程设计任务书和报告要语言流畅,图表正确规范。 6.本表要用钢笔、圆柱笔填写或打印,字迹工整。

课程设计报告 1 设计原理与技术方法: 1.1 电路工作原理分析 本次单片机实习采用的是单片机STC89C52,对于简易波形发生器设计的硬件电路主要为三个部分,为显示部分、键盘部分、D/A转换电路,以下对三个部分分别介绍。 1.1.1 显示电路原理 如图1.1所示八位八段数码管为共阴极数码管,通过两个74HC573锁存器与单片机连接,一片573的LE为位选信号另一片的LE为段选信号,分别由单片机的P2.7和P2.6控制,高电平有效。当P2.7=1、P2.6=0时,位选有效,P0.0-P0.7分别控制01-08八位数码管选通,低有效,即通过P0口送出数据,哪一位为0则哪一位数码管有显示;当P2.6=1、P2.7=0时,段选有效,此时P0.0-P0.7分别控制每一位八段数码管的每一段a b c d e f g dp 的亮灭,高有效,从而使数码管显示数字0-9。显示段码如表1.1所示。 图 1.1 显示电路 表1.1 共阴极数码管显示段码 1.1.2 键盘电路原理 如图1.2所示为4×4的矩阵式键盘与单片机的P3口相连,行连接P3.0-P3.3,列连接P3.4-P3.5。用扫描法对按键进行扫描,先将所有行置0,所有列置1,当有按键按下时,通过对P3口的状态查询则按下的按键所在列将为0,其余仍未1,通过延时去抖动判断是否真有按键按下,若有,则逐行扫描,判断按键所在行,最后返回按键键码,并去执行相应

巴克码

1 巴克码简介 1.1巴克码简介 巴克码主要用于通信系统中的帧同步,其特点是具有尖锐的自相关函数,便于与随机的数字信息 相区别,易于识别,出现伪同步的可能性小。巴克码是一种具有特殊规律的二进制码组,它是一种非周期序列。一个n 位的巴克码组为{ , :, ,. ,},其中 的取值是+1或一1,其局部自相关函数为: ()i i+j 10x x 0,1,100n j i n j R j j n j n ===??==+-<

图1.1 巴克码发生器设计原理图 MATLAB软件概述 MATLAB是矩阵实验室(Matrix Laboratory)的简称,是美国MathWorks公司出品的商业数学软件,用于算法开发、数据可视化、数据分析以及数值计算的高级技术计算语言和交互式环境,主要包括MATLAB和Simulink两大部分。 MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国际科学计算软件的先进水平。 MATLAB和Mathematica、Maple并称为三大数学软件。它在数学类科技应用软件中在数值计算方面首屈一指。MATLAB可以进行矩阵运算、绘制函数和数据、实现算法、创建用户界面、接其他编程语言的程序等,主要应用于工程计算、控制设计、信号处理与通讯、图像处理、信号检测、金融建模设计与分析等领域。 MATLAB的基本数据单位是矩阵,它的指令表达式与数学、工程中常用的形式十分相似,故用MATLAB来解算问题要比用C,FORTRAN等语言完成相同的事情简捷得多,并且MATLAB也吸收了像Maple等软件的优点,使MATLAB成为一个强大的数学软件。在新的版本中也加入了对C,FORTRAN,C++ ,JAVA的支持。可以直接调用,用户也可以将自己编写的实用程序导入到MATLAB函数库中方便自己以后调用,此外许多的MATLAB爱好者都编写了一些经典的程序,用户可以直接进行下载就可以用。

简易波形发生器设计报告

电子信息工程学院 硬件课程设计实验室课程设计报告题目:波形发生器设计 年级:13级 专业:电子信息工程学院学号:201321111126 学生姓名:覃凤素 指导教师:罗伟华 2015年11月1日

波形发生器设计 波形发生器亦称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。 波形发生器一般是指能自动产生方波、三角波、正弦波等电压波形的电路。产生方波、三角波、正弦波的方案有多种,如先产生正弦波,再通过运算电路将正弦波转化为方波,经过积分电路将其转化为三角波,或者是先产生方波-三角波,再将三角波变为正弦波。本课程所设计电路采用第二种方法,利用集成运放构成的比较器和电容的充放电,实现集成运放的周期性翻转,从而在输出端产生一个方波。再经过积分电路产生三角波,最后通过正弦波转换电路形成正弦波。 一、设计要求: (1) 设计一套函数信号发生器,能自动产生方波、三角波、正弦波等电压波形; (2) 输出信号的频率要求可调; (3) 根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (4) 在面包板上搭出电路,最后在电路板上焊出来; (5) 测出静态工作点并记录; (6) 给出分析过程、电路图和记录的波形。 扩展部分: (1)产生一组锯齿波,频率范围为10Hz~100Hz , V V 8p -p =; (2)将方波—三角波发生器电路改成矩形波—锯齿波发生器,给出设计电路,并记录波形。 二、技术指标 (1) 频率范围:100Hz~1kHz,1kHz~10kHz ; (2) 输出电压:方波V V 24p -p ≤,三角波V V 6p -p =,正弦波V V 1p -p ≥; (3) 波形特性:方波s t μ30r < (1kHz ,最大输出时),三角波%2V <γ ,正弦波y~<2%。 三、选材: 元器件:ua741 2个,3DG130 4个,电阻,电容,二极管 仪器仪表: 直流稳压电源,电烙铁,万用表和双踪示波器 四、方案论证 方案一:用RC 桥式正弦波振荡器产生正弦波,经过滞回比较器输出方波,方波在经过积分器得到三角波。

十进制4位加法计数器设计

洛阳理工学院 十 进 制 4 位 加 法 计 数 器 系别:电气工程与自动化系 姓名:李奇杰学号:B10041016

十进制4位加法计数器设计 设计要求: 设计一个十进制4位加法计数器设计 设计目的: 1.掌握EDA设计流程 2.熟练VHDL语法 3.理解层次化设计的内在含义和实现 设计原理 通过数电知识了解到十进制异步加法器的逻辑电路图如下 Q3 则可以通过对JK触发器以及与门的例化连接实现十进制异步加法器的设计 设计内容 JK JK触发器的VHDL文本描述实现: --JK触发器描述 library ieee; use ieee.std_logic_1164.all; entity jk_ff is

port( j,k,clk: in std_logic; q,qn:out std_logic ); end jk_ff; architecture one of jk_ff is signal q_s: std_logic; begin process(j,k,clk) begin if clk'event and clk='0' then if j='0' and k='0' then q_s <= q_s; elsif j='0' and k='1' then q_s <= '0'; elsif j='1' and k='0' then q_s <= '1'; elsif j='1' and k='1' then q_s <= not q_s; end if; end if; end process; q <= q_s; qn <= not q_s; end one; 元件门级电路: 与门VHDL文本描述实现: --与门描述library ieee; use ieee.std_logic_1164.all;

运放组成的波形发生器电路设计

运放组成的波形发生器电 路设计 This model paper was revised by the Standardization Office on December 10, 2020

运放组成的波形发生器电路设计、装配与调试 1. 运放组成的波形发生器的单元电路 运放的二个应用:⑴ 线性应用-RC 正弦波振荡器 ⑵ 非线性应用-滞回比较器 ⑴ RC 正弦波振荡器 RC 桥式振荡电路如图3-9所示。 图3-9 RC 桥式振荡电路 RC 桥式振荡电路由二部分组成: ① 同相放大器,如图3-9(a )所示。 ② RC 串并联网络,如图3-9(b )所示。 或图3-9(c )所示,RC 串并联网络与同相放大器反馈支路组成桥式电路。 同相放大器的输出电压uo 作为RC 串并联网络的输入电压,而将RC 串并联网络的输出电压作为放大器的输入电压,当f=f 0时, RC 串并联网络的相位移为零,放大器是同相放大器,电路的总相位移是零,满足相位平衡条件,而对于其他频率的信号,RC 串并联网络的相位移不为零,不满足相位平衡条件。由于RC 串并联网络在 f=f 0 时的传输系数F =1/3,因此要求放大器的总电压增益Au 应大于3,这对于集成运放组成的同相放大器来说是很容易满足的。由R 1、R f 、V 1、V 2及R 2构成负反馈支路,它与集成运放形成了同相输入比例运算放大器。 只要适当选择R f 与R 1的比值, 就能实现Au>3的要求。其中,V1、V2和R 2是实现自动稳幅的限幅电路。 1 1R R A f u + =RC f π210=

① 振荡原理 RC 桥式振荡电路如图3-9所示。根据自激振荡的条件,φ=φa+Φf=2πn ,其中RC 串并联网络作为反馈电路,当f=fo 时,φf=0°,所以放大器的相移应为φa=0°,即可用一个同相输入的运算放大器组成。又因为当f=fo 时,F=1/3,所以放大电路的放大倍数A ≥3。起振时A>3,起振后若只依靠晶体管的非线性来稳幅,波形顶部容易失真。为了改善输出波形,通常引入负反馈电路。其振荡频率由RC 串并联网络决定,图3-9(c )为RC 桥式振荡电路的桥式画法。RC 串并联网络及负反馈电路中的Rf+'2 R 、R1正好构成电桥四臂,这就是桥式振荡器名称的由来。在RC 串并联网络中, 取C C C R R R ====2121, 当虚部为零,即)/(11221C R C R ωω=时,3/1=F ② 稳幅原理 V 1、V 2和R 2是实现自动稳幅的限幅电路。V 1、V 2仅一只导通,导通的二极管和R 2并联等 效电阻为'2R 。根据同相放大器的放大倍数计算公式:1 ' 2 1R R R A f ++=可知输出电压幅度与 '2 R 有关。 )1()1(1 11111// 1 2 121211222211 222 2122 22 2221 11C R C R j R R C C C R j R C j R C R j R Z Z Z U U F C R j R C j R Z C j R Z o f ωωωωωωωω-+++ =++ ++= +==+= =+=?? ?

简易波形发生器

摘要 波形发生器又称为振荡器,它不需要输入信号的激励,电路通过正反馈,将直流电源的能量转换为各种稳定的、随时间周期性变化的交流信号的能量而输出。即没有输入就有输出,根据输出信号波形的不同,分为正弦波振荡器和非正弦波振荡器两大类。波形发生器是一种广泛应用于电子电路、自动控制和科学实验等领域的信号源。比如电参量的测量、雷达、通信、电子对抗与电子系统、宇航和遥控遥测技术等等。RC 桥式正弦波振荡电路产生正弦波,正弦波频率可通过调节电阻R及电容C实现100HZ—20KHZ的变换,再通过电压跟随器输出正弦波。正弦波通过过零比较器,整形为方波,同样经过电压跟随器输出方波。方波通过积分运算电路,整形为三角波。 关键词正弦波发生器/过零比较器/电压跟随器/正弦波/方波/三角波

目录 1方案设计 (1) 2 简易波形发生器原理级框图 (4) 2.1 基本原理 (4) 2.2 原理框图 (4) 3 正弦波发生电路 (5) 3.1 正弦波振荡器原理和结构 (5) 3.2 产生振荡的条件 (5) 3.2.1振荡平衡条件 (5) 3.2.2 振荡起振条件 (6) 3.3 RC选频网络 (7) 3.3.1 RC桥式振荡器电路 (7) 3.3.2 RC桥式振荡器的选频特性 (8) 3.3.3 电压跟随器 (9) 4 方波发生电路 (11) 4.1 迟滞比较器 (11) 4.2 方波产生原理 (12) 5 三角波的产生电路 (13) 5.1方波到三角波的转换原理 (13) 6 简易波形发生器的设计 (15) 6.1简易波形发生器的总原理 (15) 6.1.1 输出波形 (15) 6.1.2 频率范围 (16) 6.1.3 输出电压 (16) 6.1.4 显示输出波形的类型 (16) 7 设计总结与心得体会 (17) 致谢 (18) 主要参考文献 (19) 附录一:总原理电路图 (20) 附录二:元件清单 (21)

多种波形发生器的设计与制作

课题三 多种波形发生器的设计与制作 方波、三角波、脉冲波、锯齿波等非正弦电振荡信号是仪器仪表、电子测量中最常用的波形,产生这些波形的方法较多。本课题要求设计的多种波形发生器是一种环形的波形发生器,方波、三角波、脉冲波、锯齿波互相依存。电路中应用到模拟电路中的积分电路、过零比较器、直流电平移位电路和锯齿波发生器等典型电路。通过对本课题的设计与制作,可进一步熟悉集成运算放大器的应用及电路的调试方法,提高对电子技术的开发应用能力。 1、 设计任务 设计并制作一个环形的多种波形发生器,能同时产生方波、三角波、脉冲波和锯齿波,它们的时序关系及幅值要求如图3-3-1所示。 图3-3-1 波形图 设计要求: ⑴ 四种波形的周期及时序关系满足图3-3-1的要求,周期误差不超过%1±。 ⑵ 四种波形的幅值要求如图3-3-1所示,幅值误差不超过%10±。 ⑶ 只允许采用通用器件,如集成运放,选用F741。

要求完成单元电路的选择及参数设计,系统调试方案的选取及综合调试。 2、设计方案的选择 由给定的四种波形的时序关系看:方波决定三角波,三角波决定脉冲波,脉冲波决定锯齿波,而锯齿波又决定方波。属于环形多种波形发生器,原理框图可用3-3-2表示。 图3-3-2 多种波形发生器的方框图 仔细研究时序图可以看出,方波的电平突变发生在锯齿波过零时刻,当锯齿波的正程过零时,方波由高电平跳变为低电平,故方波发生电路可由锯齿波经一个反相型过零比较器来实现。三角波可由方波通过积分电路来实现,选用一个积分电路来完成。图中的u B电平显然上移了+1V,故在积分电路之后应接一个直流电平移位电路,才能获得符合要求的u B波形。脉冲波的电平突变发生在三角波u B的过零时刻,三角波由高电平下降至零电位时,脉冲波由高电平实跳为低电平,故可用一个同相型过零比较器来实现。锯齿波波形仍是脉冲波波形对时间的积分,只不过正程和逆程积分时常数不同,可利用二极管作为开关,组成一个锯齿波发生电路。由上,可进一步将图3-3-2的方框图进一步具体化,如图3-3-3所示。 图3-3-3 多种波形发生器实际框图 器件选择,设计要求中规定只能选用通用器件,由于波形均有正、负电平,应选择由正、负电源供电的集成运放来完成,考虑到重复频率为100Hz(10ms),故选用通用型运放F741(F007)或四运放F324均可满足要求。本设计选用F741。其管脚排列及功能见附录三之三。

EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器)

EDA实验报告

实验14选1数据选择器的设计 一、实验目的 1.学习EDA软件的基本操作。 2.学习使用原理图进行设计输入。 3.初步掌握器件设计输入、编译、仿真和编程的过程。 4.学习实验开发系统的使用方法。 二、实验仪器与器材 1.EDA开发软件一套 2.微机一台 3.实验开发系统一台 4.打印机一台 三、实验说明 本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。 本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。 实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。学会管脚锁定以及编程下载的方法等。 四、实验要求 1.完成4选1数据选择器的原理图输入并进行编译; 2.对设计的电路进行仿真验证; 3.编程下载并在实验开发系统上验证设计结果。 五、实验结果 4选1数据选择器的原理图: 仿真波形图:

管脚分配:

实验2 四位比较器 一、实验目的 1.设计四位二进制码比较器,并在实验开发系统上验证。 2.学习层次化设计方法。 二、实验仪器与器材 1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其它器件与材料 若干 三、实验说明 本实验实现两个4位二进制码的比较器,输入为两个4位二进制码0123A A A A 和 0123B B B B ,输出为M (A=B ),G (A>B )和L (A

实验十进制加减法计数器

实验1 十进制加减法计数器 实验地点:电子楼218 实验时间:2012年10月19日指导老师:黄秋萍、陈虞苏 实验要求:设计十进制加减法计数器,保留测试程序、设计程序、仿真结果 1.设计程序: module count(EN,CLK,DOUT,F,RST); input EN,CLK,F,RST; output [3:0]DOUT; reg [3:0]DOUT; always@(posedge CLK) begin :abc if(EN) if(!RST) if(F) begin :a DOUT=DOUT+1; if(DOUT==10) DOUT=0; end //END A else begin :b DOUT=DOUT-1; if(DOUT==15) DOUT=9; end else DOUT=0; else DOUT=DOUT; end endmodule 2.测试程序 `timescale 10ns/1ns module test_count; wire [3:0] DOUT; reg EN,F,RST,CLK; count M(EN,CLK,DOUT,F,RST); initial begin :ABC CLK=0; EN=0;

RST=1; F=1; #100 EN=1; #200 RST=0; #1500 F=0; #3000 $stop; end always #50 CLK=~CLK; initial $monitor("EN=%b,F=%b,RST=%b,DOUT%D",EN,F,RST,DOUT); endmodule 3.测试结果 # EN=0,F=1,RST=1,DOUT x # EN=1,F=1,RST=1,DOUT x # EN=1,F=1,RST=1,DOUT 0 # EN=1,F=1,RST=0,DOUT 0 # EN=1,F=1,RST=0,DOUT 1 # EN=1,F=1,RST=0,DOUT 2 # EN=1,F=1,RST=0,DOUT 3 # EN=1,F=1,RST=0,DOUT 4 # EN=1,F=1,RST=0,DOUT 5 # EN=1,F=1,RST=0,DOUT 6 # EN=1,F=1,RST=0,DOUT 7 # EN=1,F=1,RST=0,DOUT 8 # EN=1,F=1,RST=0,DOUT 9 # EN=1,F=1,RST=0,DOUT 0 # EN=1,F=1,RST=0,DOUT 1 # EN=1,F=1,RST=0,DOUT 2 # EN=1,F=1,RST=0,DOUT 3 # EN=1,F=1,RST=0,DOUT 4 # EN=1,F=1,RST=0,DOUT 5 # EN=1,F=0,RST=0,DOUT 5 # EN=1,F=0,RST=0,DOUT 4 # EN=1,F=0,RST=0,DOUT 3 # EN=1,F=0,RST=0,DOUT 2 # EN=1,F=0,RST=0,DOUT 1 # EN=1,F=0,RST=0,DOUT 0 # EN=1,F=0,RST=0,DOUT 9 # EN=1,F=0,RST=0,DOUT 8 # EN=1,F=0,RST=0,DOUT 7 # EN=1,F=0,RST=0,DOUT 6 # EN=1,F=0,RST=0,DOUT 5

简易波形发生器的设计

目录 第一章单片机开发板 (1) 1.1 开发板制作 (1) 1.1.1 89S52单片机简介 (1) 1.1.2 开发板介绍 (2) 1.1.3 89S52的实验程序举例 (3) 1.2开发板焊接与应用 (4) 1.2.1开发板的焊接 (4) 1.2.2开发板的应用 (5) 第二章函数信号发生器 (7) 2.1电路设计 (7) 2.1.1电路原理介绍 (7) 2.1.2 DAC0832的工作方式 (9) 2.2 波形发生器电路图与程序 (10) 2.2.1应用电路图 (10) 2.2.2实验程序 (11) 2.2.3 调试结果 (15) 第三章参观体会 (16) 第四章实习体会 (17) 参考文献 (18)

第一章单片机开发板 1.1 开发板制作 1.1.1 89S52单片机简介 图1.1 89s52 引脚图 如果按功能划分,它由8个部件组成,即微处理器(CPU)、数据存储器(RAM)、程序存储器(ROM/EP ROM)、I/O口(P0口、P1口、P2口、P3口)、串行口、定时器/计数器、中断系统及特殊功能寄存器(SF R)的集中控制方式。 各功能部件的介绍: 1)数据存储器(RAM):片内为128个字节单元,片外最多可扩展至64K字节。 2)程序存储器(ROM/EPROM):ROM为4K,片外最多可扩展至64K。 3)中断系统:具有5个中断源,2级中断优先权。 4)定时器/计数器:2个16位的定时器/计数器,具有四种工作方式。 5)串行口:1个全双工的串行口,具有四种工作方式。 6)特殊功能寄存器(SFR)共有21个,用于对片内各功能模块进行管理、监控、监视。 7)微处理器:为8位CPU,且内含一个1位CPU(位处理器),不仅可处理字节数据,还可以进行位变量的处理。 8)四个8位双向并行的I/O端口,每个端口都包括一个锁存器、一个输出驱动器和一个输入缓冲器。这四个端口的功能不完全相同。 A、P0口既可作一般I/O端口使用,又可作地址/数据总线使用; B、P1口是一个准双向并行口,作通用并行I/O口使用; C、 P2口除了可作为通用I/O使用外,还可在CPU访问外部存储器时作高八位地址线使用; D、P3口是一个多功能口除具有准双向I/O功能外,还具有第二功能。 控制引脚介绍: 1)电源:单片机使用的是5V电源,其中正极接40引脚,负极(地)接20引脚。 2)时钟引脚XTAL1、XTAL2时钟引脚外接晶体与片内反相放大器构成了振荡器,它提供单片机的时钟控制信号。时钟引脚也可外接晶体振荡器。 振蒎电路:单片机是一种时序电路,必须提供脉冲信号才能正常工作,在单片机内部已集成了振荡器,

时分复用通信系统设计

目录 第一章摘要 (1) 第二章总体设计原理 (2) 2.1 PCM编码原理 (2) 2.2 PCM原理框图 (3) 2.3 时分复用原理 (4) 第三章单元电路的设计 (6) 3.1信号源系统模块 (6) 3.2 PCM编码器模块 (7) 3.3帧同步模块 (9) 3.4位同步模块 (10) 3.5 PCM分接译码模块 (12) 3.6系统仿真模型 (14) 第四章总结与体会 (15)

第一章摘要 SystemView是具有强大功能基于信号的用于通信系统的动态仿真软件,可以满足从底层到高层不同层次的设计、分析使用。SystemView具有良好的交互界面,通过分析窗口和示波器模拟等方法,提供了一个可视的仿真过程,不仅在工程上得到应用,在教学领域也得到认可,尤其在信号分析、通信系统等领域。其可以实现复杂的模拟、数字及数模混合电路及各种速率系统,并提供了内容丰富的基本库和专业库。 时分复用(TDM:Time Division Multiplexing)的特点是,对任意特定的通话呼叫,为其分配一个固定速率的信道资源,且在整个通话区间专用。TDM把若干个不同通道(channel)的数据按照固定位置分配时隙(TimeSlot:8Bit数据)合在一定速率的通路上,这个通路称为一个基群。时分复用是建立在抽样定理基础上的。抽样定理使连续(模拟)的基带信号有可能被在时间上离散出现的抽样脉冲所代替。这样,当抽样脉冲占据短时间时,在抽样脉冲之间就留有时间空隙,利用这个时间空隙便可以传输其他信号的抽样值。因此,这就有可能沿一条信道同时传送若干个基带信号。 当采用单片集成PCM 编解码器时,其时分复用方式是先将各路信号分别抽样、编码、再经时分复用分配器合路后送入信道,接收端先分路,然后各路分别解码和重建信号。PCM的32路标准的意思是整个系统共分为32个路时隙,其中30 个路时隙分别用来传送30 路话音信号,一个路时隙用来传送帧同步码,另一个路时隙用来传送信令码,即一个PCM30/32 系统。

10进制加法计数器课程设计

西北师范大学知行学院 数字电子实践论文 课题:74ls161组成的十进制加法计数器 (置数法) 班级:14电本 学号:14040101114 姓名:于能海

指导老师:崔用明 目录 第1章前言 (1) 1.1 摘要 (1) 1.2 设计目的 (2) 1.3 设计内容及要求 (2) 第2章设计方案 (3) ....................................................................................................................... 错误!未定义书签。 2.1主要芯片功能介绍 (3) 2.2.1 四位二进制计数器74161介绍 (3) ............................................................................................................... 错误!未定义书签。 2.2 工作原理 (4) 第3章硬件设计 (4) 3.1 单元电路设计 (4) 3.2 总硬件电路图 (5) 第4章仿真与试验 (6) 4.1 仿真结果 (6) 4.2 调试中遇到的问题 (7) 第5章结论和体会 (8)

第1章前言 1.1 摘要在数字电路技术的课程中,计数器的功能是记忆脉冲的个数,它是数字系统中应用最广泛的基本时序逻辑构件。计数器在微型计算机系统中的主要作用就是为CPU和I/O设备提供实时时钟,以实现定时中断、定时检测、定时扫描、定时显示等定时控制,或者对外部事件进行计数。一般的微机系统和微机应用系统中均配置了定时器/计数器电路,它既可当作计数器作用,又可当作定时器使用,其基本的工作原理就是"减1"计数。计数器:CLK输入脉冲是一个非周期事件计数脉冲,当计算单元为零时,OUT输出一个脉冲信号,以示计数完毕。 本十进制加法计数器是基于74161芯片而设计的, 该十进制加法计数器设计理念是用于工厂流水线上产品计数,自动计数,方便简单。 关键词:74ls161计数器 Introduction In the course of digital circuit technology, the counter memory function is the number of pulses, it is a digital system, the most widely used basic sequential logic components. The main role of the counter in the micro-computer system is to provide real-time clock for the CPU and I / O devices to achieve the timer interrupt, timing detection, scheduled scanning, the timing display timing control, or to count external events. General computer systems and computer application systems are equipped with a timer / counter circuit, it can as a counter action, but also as a timer, the basic working principle is "minus 1" count. Counter: CLK input pulse is a non-periodic event count pulses to zero when calculating unit, OUT outputs a pulse signal, to show the count is completed. The decimal addition counter is designed based on the 74161 chip, the low potential sensor senses when to rely on external signals, sensors in an object within the sensing range, otherwise it is a high potential. Within the sensing range of the sensor when an object is moved out of date, sensor potential from high to low and then high, appears on the edge. Counter is automatically incremented and displayed on a digital control. The decimal addition counters have two seven-segment LED. It can count from 0 to 99 objects, and easy to expand. The design concept of decimal addition counter is used to count on a factory assembly line products, automatic counting, convenient and simple. Keywords:74ls161counter

简易波形发生器

????学院课程设计报告 课程名称:电子技术课程设计 教学院部:电气与信息工程学院 专业班级:自动化0810?班 学生姓名:???(200816010???) 指导教师:??? 完成时间:2010 年6月25日 报告成绩:

简易波形发生器

目录 第1章前言 (3) 1.1 课程设计内容与要求 (3) 1.2 单片机的发展前景 (3) 第2章总体设计方案 (4) 2.1 系统总体方案选择与说明 (4) 2.2 系统结构框图与工作原理 (4) 第3章系统硬件设计及说明 (5) 3.1 单片机的时钟振荡电路 (5) 3.2 波形选择电路 (5) 3.3 单片机复位电路 (6) 3.4 AT89C51单片机及运行方式 (6) 3.5 波形的放大及双极性输出实现 (7) 第4章系统软件设计与说明 (9) 4.1 锯齿波的子程序和流程图 (9) 4.2 三角波的子程序和流程图 (10) 4.3 正弦波的子程序和流程图 (11) 4.4 方波的子程序和流程图 (13) 第5章总结体会 (15) 附录A 系统原理图 (16) 附录B 程序清单 (17) 参考文献 (19)

第1章前言 1.1 课程设计内容与要求 用单片机与DAC0832 构成的波形发生器,可产生方波、三角波、锯齿波、正弦波等多种波形,波形的周期可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。 1.2 单片机的发展前景 当今世界在以电子信息技术为前提下推动了社会跨跃式的进步,科学技术的飞速发展日新月异带动了各国生产力的大规模提高。由此可见科技已成为各国竞争的核心,尤其是电子信息技术更显得尤为重要,在国民生产各部门电子信息技术得到了广泛的应用。 漫步在繁华的现代化的大都市的大街上,随时都可以看到街上有很多可以用卡取钱的机器(ATM自动柜机),十字路口的交通灯。我们家里数码电视机、数码音响、遥控器、空调、智能玩具..... 这些“高科技”看上去是如此的神秘,它到底是怎样构成的,它是通过什么样的程序和什么样的方式来完成这一系列指令的呢?让我们取钱更方便、避免城市的交通混乱和交通阻塞……给我们生活带来了处处方便。其实这也是用单片机来控制的,单片机在我们生活中触手可及,它是如此地贴近我们的生活,单片机给我们的生活带来的有如此多的便利。 目前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。科技越发达,智能化的东西就越多,使用的单片机就越多。看来学单片机是社会发展的需求。 据统计,我国的单片机年容量已达1-3亿片,且每年以大约16%的速度增长,但相对于世界市场我国的占有率还不到1%。特别是沿海地区的玩具厂等生产产品多数用到单片,并不断地辐射向内地, 这说明单片机应用在我国才刚刚起步,有着广阔的前景。单片机被广泛用于人们生活的各个领域,社会需要大量掌握单片机技术的人才,而单片机性能不断提高,价格不断降低,技术也日趋已成熟。所以,培养单片机应用人才,特别是在工程技术人员中普及单片机知识有着重要的现实意义。