CMOS三态门集成电路课程设计

CMOS三态门集成电路课程设计
CMOS三态门集成电路课程设计

集成电路课程设计

前言

集成电路在当今社会中发挥着越来越重要的作用。也越来越成为衡量一个国家高科技技术水平的重要指标。作为一门重要的课程,集成电路课程设计是电子科学与技术专业要求的实践课程,主要目的是使学生熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础。提高学生综合运用已掌握的知识,利用相关软件,进行集成电路芯片的能力。集成电路设计主要包括以下几个方面。系统设计→电路设计及模拟→版图设计→版图验证等正向设计方法。

1.设计需求分析

1.1设计内容及其性能指标要求

器件名称:CMOS三态门器件

要求电路性能指标:

(1)输出高电平时,|I

OH |≤20μA,V

OH,min

=5V;

(2)输出底电平时,|I

OL |≤4mA,V

OL,man

=0V;

(3)输出级充放电时间t

r =t

f

,t

pd

<25ns;

(4)工作电源5V,常温工作,工作频率f

work =100HZ,最大功耗P

max

150mW。

1.2设计指标

1.独立完成设计三态门芯片的全过程;

2.设计时使用的工艺及设计规则: MOSIS:mhp_n12;

3.根据所用的工艺,选取合理的模型库;

4.选用以lambda(λ)为单位的设计规则;

5.全手工、层次化设计版图;

6.达到设计要各项指标要求。

2.设计实现

2.1三态门芯片简介

所谓三态门(TG)就是一种传输模拟信号的模拟开关。CMOS三态门门由一个P沟道和一个N沟道增强型MOSFET并联而成,如下图所示。它的管脚图如图1所示,其逻辑真值表如表1所示:

三态门原理图

图1 三态门芯片管脚图

表1 三态门真值表

从图1可以看出三态门芯片是一个反相器与一组互补的增强型场效应管组成,而反相器也可以由一组互补的增强型场效应管构成。因此,本电路的重点是增强型场效应管的使用。从真值表我们可以看出EN为使能端。当其为1时,输出等于输入,当其为0时,输出为高阻态。

2.2电路工作原理

TP和TN是结构对称的器件,它们的漏极和源极是可互换的。设它们的开启电压|VT|=2V且输入模拟信号的变化范围为-5V到+5V。为使衬底与漏源极之间的PN结任何时刻都不致正偏,故TP的衬底接+5V电压,而TN的衬底接-5V电压。两管的栅极由互补的信号电压(+5V和-5V)来控制,分别用C和!C表示。传输门的工作情况如下:当C端接低电压-5V时TN的栅压即为-5V,vI取-5V到+5V范围内的任意值时,TN均不导通。同时、TP的栅压为+5V,TP亦不导通。可见,当C端接低电压时,开关是断开的。为使开关接通,可将C端接高电压+5V。此时TN的栅压为+5V,vI 在-5V到+3V的范围内,TN导通。同时TP的棚压为-5V,vI在-3V到+5V 的范围内TP将导通。由上分析可知,当vI<-3V时,仅有TN导通,而当vI>+3V时,仅有TP导通当vI在-3V到+3V的范围内,TN和TP两管均导通。进一步分析还可看到,一管导通的程度愈深,另一管的导通程度则相应地减小。换句话说,当一管的导通电阻减小,则另一管的导通电阻就增加。由于两管系并联运行,可近似地认为开关的导通电阻近似为一常数。这是CMOS传输出门的优点。在正常工作时,模拟开关的导通电阻值约为数百欧,当它与输入阻抗为兆欧级的运放串接时,可以忽略不计。

2.3电路设计

本次设计采用的是m12_20的模型库参数进行各级电路的尺寸计算,其参数如下:

NMOS:εox=3.9×8.85×10﹣12F/m μn=605.312×10﹣4㎡/Vs

t ox=395×10﹣10m V tn=0.81056V

PMOS: εox=3.9×8.85×10﹣12F/m μp=219×10﹣4㎡/Vs

t ox=395×10﹣10m V tp=﹣0.971428V

2.2.1 反相器电路设计

根据要求反相器电路等效电路图如图3所示,输入Vi为前一级的输

出,可认为是理想的输出,即V

IL =Vss, V

IH

=V DD。

图3 反相器电路

(1)反相器N管(W/L)N的计算

当输入为高电平时,输出为低电平,N管导通,工作在线性区,要求|I

OL

|≤

4mA,V

OL,man

=0.4V,根据NMOS管理想电流分方程分段表达式:

因此,

则,

(2) 反相器P 管(W/L )P 的计算

当输入为低电平时,输出为高电平,P 管导通,工作在线性区。同时要求N 管和P 管的充放电时间t r =t f ,分别求出这两个条件下的(W/L )P ,min 极限值,然后取大者。

1. 以|I OH |≤20μA ,V OH ,min =4.4V 为条件计算(W/L )P ,min 极限值:用PMOS

管的理想电流方程分段表达式:

因此

则,

2. N 管和P 管的充放电时间t r 和t f 表达式分别为

()()()??????? ??--+???--??? ????=dd tn dd tn dd tn dd dd tn n n ox ox L f V V V V V V V V V W L t C t 2019ln 1

1.022με

(

)

(

)

()

??

????? ??--+???

?--??? ????=?dd tp

dd tp

dd tp dd dd tp p p ox ox L r V V V V V V V V V W L t C t 2019ln 11.02

2

με

计算得出:

则(W/L )P =140

取其中的大值作为输出级P 管的尺寸,则(W/L )P =140 2.2.2传输门MOS 的尺寸计算

内部逻辑门的电路如图5所示。根据截止延迟时间t pLH 和导通延迟时间t pHL 的要求,在最坏情况下,必须保证等效N 管、P 管的等效电阻与内部基本反相

器的相同,这样三输入与非门就相当于内部基本反相器了。因此,N 管的尺寸放大3倍,而P 管尺寸不变,即:

图5 传输门

代入反相器的尺寸得,传输门的尺寸为:

至此,完成了全部器件的尺寸计算,汇总列出各级N 管和P 管的尺寸如下: 反相器:

传输门:

,内部反相器

,与非门,内部反相器,与非门==P P 3???

???

?? ???

??

????? ??L W L W L W L W N N

2.3 延迟估算

估算功耗时功耗仿真如下图。

图11

3.绘图仿真软件和版图设计软件介绍

3.1 orcad简介

ORCAD CapQure是一款基于Windows 操作环境下的电路设计工具。利用CapQure软件,能够实现绘制电路原理图以及为制作PCB和可编程的逻辑设计提供连续性的仿真信息。OrCAD CapQure作为行业标准的PCB原理图输入方式,是当今世界最流行的原理图输入工具之一,具有简单直观的用户设计界面。OrCAD CapQure CIS具有功能强大的元件信息系统,可以在线和集中管理元件数据库,从而大幅提升电路设计的效率。OrCAD CapQure提供了完

整的、可调整的原理图设计方法,能够有效应用于PCB的设计创建、管理和重用。将原理图设计技术和PCB布局布线技术相结合,OrCAD能够帮助设计师从一开始就抓住设计意图。不管是用于设计模拟电路、复杂的PCB、FPGA 和CPLD、PCB改版的原理图修改,还是用于设计层次模块,OrCAD CapQure 都能为设计师提供快速的设计输入工具。此外,OrCAD CapQure原理图输入技术让设计师可以随时输入、修改和检验PCB设计。

3.1.1 orcad原理图设计步骤

1.在菜单开始栏找到cadence。点击,展开。选择orcad capQure cis,打开。

2.新建工程。

3.在会原理图界面绘制元器件。

4添加相应元器件的元件库。

3.1.2orcad原理图仿真步骤

1.创建仿真文件

Pspice->new simulation profile

2设置仿真参数

双击xxx.bias文件

3开始仿真

点击图标

4观察仿真结果。

4.电路模拟仿真

电路模拟需要在原理图中加入激励源,并且必须使用可以仿真的元器件绘制仿真原理图。在模拟时进行直流扫描分析,然后就可得出功耗。

把电路图转为SPICE文件,加入电路特性分析指令和控制语句,即可对电路进行仿真。

图12 电路模拟原理图

4.1 直流分析

Ven由0V变化到8V的过程中,反相器输出端波形在2.5V处出现急剧下降的情况。因此可以大概认为该反相器mos管的阈值电压为2.5V。直流分析的原理图如图13所示,直流分析的输入输出电压曲线如图15所示。

图13 直流分析原理图

图15 输入输出电压曲线

从图15可以看出,转变电平Vs大约在2.5V左右,符合设计要求。

4.2 瞬态分析

从波形中得到t

PLH 、t

PHL

、t

r

和t

f

,然后进行相关计算。瞬时分析的原理图如图

16所示,仿真波形如图18所示:

图16 瞬态分析原理图

图18 瞬态分析波形图

4.3 功耗分析

对电压源V 2和V 3进行直流扫描分析 总功耗:

功耗分析的原理图如图19所示,功耗分析的波形如图21所示:

图19 功耗分析原理图

图21 功耗分析波形图

从图中可以看出

因此,

满足设计要求。

5.版图设计

版图设计采用层次化,全手工设计版图。层次化设计版图就是先设计单元版图,由简单的单元版图再组成较复杂的单元版图,一层层设计,直至完成芯片的整体版图。

5.1 PMOS管设计

PMOS管电路的版图如图22所示,多晶硅均采用2λ。

图22 PMOS

5.2 NMOS管设计

由于NMOS尺寸比较小,将NMOS的源级和漏极的有源区扩大,保证能够符合设计规则,其版图如图23所示。

图23 NMOS管

5.3 反相器设计

由于P管的尺寸比较的大,所以P管采用两个PMOS并联的方式进行设计,每个的宽长比都为9,其版图如图24所示

图24 反相器

5.4 传输门设计

版图如图25所示

图25 传输门5.5 电源设计

图26 VCC

5.6 地设计

GND

5.7 总版图

每一级版图都设计完成了,将各个级的版图进行整合,连接成最终的电路图

5.8 版图检查

5.8.1 版图设计规则检查(DRC)

编辑好总的版图->菜单tool->菜单项DRC

总版图设计规则检查见图28所示。

图28 总版图DRC检查

由DRC检查结果来看,总版图符合其设计规则。

5.8.2 电路网表匹配(LVS)检查

电路图提取的网表文件(.sp)与版图提取的网表文件(.spc),进行元件和节点的匹配检查。如果匹配,表明版图的连接及版图中各管子的生成是正确的。因此,只要保证电路图是正确的,LVS检查就可以验证版图的正确性。

6. 心得体会

由于本学期找工作花了太多的时间,没有足够的时间认真学习本门课程,而且课程设计给的题目,难度很大。难度大表现在一下几个方面:1需要学习的东西太多,并且由于涉及到的都是专业级别很高的知识,因此好的教程很少。2由于是集成电路设计,需要用到专业级别很高的设计软件。因

为这些专业级别的软件价格昂贵,所以网上很少有这样的资源。连软件都没有,课程难度可想而知。3时间非常紧张。因为同一时段,我们还必须面对另外三门专业课程设计。所以时间不够用。

因此,结果这次课程设计做起来很吃力,结果很不理想。不过,为了顺利毕业,也只好在最后的一周时间内,疯狂学习该门课程。虽然遇到很多的困难,好在现在信息畅通无阻,还是可以找到一些的资料的。最终还是完成了老师布置的任务。在此,感谢那些帮助过我的同学。

7. 参考文献

(1)吴友宇,模拟电子技术基础清华大学出版社,2010年

(2)陈先朝,集成电路课程设计指导书,2009年;

(3)廖裕评,陆瑞强编,Tanner Pro集成电路设计与布局实践指导,北京科学出版社,2007年;

(4)朱正涌,半导体集成电路,清华大学出版社,2009年;

(5)王志功等,集成电路设计,电子工业出版社,2008年。

(6)曾庆贵,集成电路版图设计,机械出版社,2008

CMOS模拟集成电路课程设计

电子科学与技术系 课程设计 中文题目:CMOS二输入与非门的设计 英文题目: The design of CMOS two input NAND gate 姓名:张德龙 学号: 1207010128 专业名称:电子科学与技术 指导教师:宋明歆 2015年7月4日

CMOS二输入与非门的设计 张德龙哈尔滨理工大学电子科学与技术系 [内容摘要]随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本次课程设计将要运用S-Edit、L-edit、以及T-spice等工具设计出CMOS二输入与非门电路并生成spice文件再画出电路版图。 [关键词]CMOS二输入与非门电路设计仿真

目录 1.概述 (1) 2.CMOS二输入与非门的设计准备工作 (1) 2-1 .CMOS二输入与非门的基本构成电路 (1) 2-2.计算相关参数 (2) 2-3.电路spice文件 (3) 2-4.分析电路性质 (3) 3、使用L-Edit绘制基本CMOS二输入与非门版图 (4) 3-1.CMOS二输入与非门设计的规则与布局布线 (4) 3-2.CMOS二输入与非门的版图绘制与实现 (5) 4、总结 (6) 5、参考文献 (6)

1.概述 本次课程设计将使用S-Edit画出CMOS二输入与非门电路的电路图,并用T-spice生成电路文件,然后经过一系列添加操作进行仿真模拟,计算相关参数、分析电路性质,在W-edit中使电路仿真图像,最后将电路图绘制电路版图进行对比并且做出总结。 2.CMOS二输入与非门的设计准备工作 2-1 .CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路 1

集成电路课程设计报告

课程设计 班级: 姓名: 学号: 成绩: 电子与信息工程学院 电子科学系

CMOS二输入与非门的设计 一、概要 随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本论文讲的是数字集成电路版图设计的基本知识。然而在数字集成电路中CMOS与非门的制作是非常重要的。 二、CMOS二输入与非门的设计准备工作 1.CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路

2.计算相关参数 所谓与非门的等效反相器设计,实际上就是根据晶体管的串并联关系,再根据等效反相器中的相应晶体管的尺寸,直接获得与非门中各晶体管的尺寸的设计方法。具体方法是:将与非门中的VT3和VT4的串联结构等效为反相器中的NMOS 晶体管,将并联的VT 1、VT 2等效PMOS 的宽长比(W/L)n 和(W/L)p 以后,考虑到VT3和VT4是串联结构,为保持下降时间不变,VT 3和VT 4的等线电阻必须减小为一半,即他们的宽长比必须为反相器中的NMOS 的宽长比增加一倍,由此得到(W/L)VT3,VT4=2(W/L)N 。 因为考虑到二输入与非门的输入端IN A 和IN B 只要有一个为低电平,与非门输出就为高电平的实际情况,为保证在这种情况下仍能获得所需的上升时间,要求VT 1和VT 2的宽长比与反相其中的PMOS 相同,即(W/L)VT1,VT2=(W/L)P 。至此,根据得到的等效反向器的晶体管尺寸,就可以直接获得与非门中各晶体管的尺寸。 如下图所示为t PHL 和t PLH ,分别为从高到低和从低到高的传输延时,通过反相器的输入和输出电压波形如图所示。给其一个阶跃输入,并在电压值50%这一点测量传输延迟时间,为了使延迟时间的计算简单,假设反相器可以等效成一个有效的导通电阻R eff ,所驱动的负载电容是C L 。 图2 反相器尺寸确定中的简单时序模型 对于上升和下降的情况,50%的电都发生在: L eff C R 69.0=τ 这两个Reff 的值分别定义成上拉和下拉情况的平均导通电阻。如果测量t PHL 和t PLH ,可以提取相等的导通电阻。 由于不知道确定的t PHL 和t PLH ,所以与非门中的NMOS 宽长比取L-Edit 软件中设计规则文件MOSIS/ORBIT 2.0U SCNA Design Rules 的最小宽长比及最小长度值。 3.分析电路性质 根据数字电路知识可得二输入与非门输出AB F =。使用W-Edit 对电路进行仿真后得到的结果如图4和图5所示。

电子科技大学集成电路原理实验CMOS模拟集成电路设计与仿真王向展

实验报告 课程名称:集成电路原理 实验名称: CMOS模拟集成电路设计与仿真 小组成员: 实验地点:科技实验大楼606 实验时间: 2017年6月12日 2017年6月12日 微电子与固体电子学院

一、实验名称:CMOS模拟集成电路设计与仿真 二、实验学时:4 三、实验原理 1、转换速率(SR):也称压摆率,单位是V/μs。运放接成闭环条件下,将一个阶跃信号输入到运放的输入端,从运放的输出端测得运放的输出上升速率。 2、开环增益:当放大器中没有加入负反馈电路时的放大增益称为开环增益。 3、增益带宽积:放大器带宽和带宽增益的乘积,即运放增益下降为1时所对应的频率。 4、相位裕度:使得增益降为1时对应的频率点的相位与-180相位的差值。 5、输入共模范围:在差分放大电路中,二个输入端所加的是大小相等,极性相同的输入信号叫共模信号,此信号的范围叫共模输入信号范围。 6、输出电压摆幅:一般指输出电压最大值和最小值的差。 图 1两级共源CMOS运放电路图 实验所用原理图如图1所示。图中有多个电流镜结构,M1、M2构成源耦合对,做差分输入;M3、M4构成电流镜做M1、M2的有源负载;M5、M8构成电流镜提供恒流源;M8、M9为偏置电路提供偏置。M6、M7为二级放大电路,Cc为引入的米勒补偿电容。 其中主要技术指标与电路的电气参数及几何尺寸的关系:

转换速率:SR=I5 I I 第一级增益:I I1=?I I2 I II2+I II4=?2I I1 I5(I2+I3) 第二级增益:I I2=?I I6 I II6+I II7=?2I I6 I6(I6+I7) 单位增益带宽:GB=I I2 I I 输出级极点:I2=?I I6 I I 零点:I1=I I6 I I 正CMR:I II,III=I II?√5 I3 ?|I II3|(III)+I II1,III 负CMR:I II,III=√I5 I1+I II5,饱和 +I II1,III+I II 饱和电压:I II,饱和=√2I II I 功耗:I IIII=(I8+I5+I7)(I II+I II) 四、实验目的 本实验是基于微电子技术应用背景和《集成电路原理与设计》课程设置及其特点而设置,为IC设计性实验。其目的在于: 根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路设计,掌握基本的IC设计技巧。 学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行电路的模拟仿真。 五、实验内容 1、根据设计指标要求,针对CMOS两级共源运放结构,分析计算各器件尺寸。 2、电路的仿真与分析,重点进行直流工作点、交流AC和瞬态Trans分析,能熟练掌握各种分析的参数设置方法与仿真结果的查看方法。 3、电路性能的优化与器件参数调试,要求达到预定的技术指标。

集成电路课程设计(CMOS二输入及门)

) 课程设计任务书 学生姓名:王伟专业班级:电子1001班 指导教师:刘金根工作单位:信息工程学院题目: 基于CMOS的二输入与门电路 初始条件: 计算机、Cadence软件、L-Edit软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) & 1、课程设计工作量:2周 2、技术要求: (1)学习Cadence IC软件和L-Edit软件。 (2)设计一个基于CMOS的二输入的与门电路。 (3)利用Cadence和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 | 学习Cadence IC和L-Edit软件,查阅相关资料,复习所设计内容的基本理论知识。 对二输入与门电路进行设计仿真工作,完成课设报告的撰写。 提交课程设计报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

目录 # 摘要 (2) 绪论…....………………………………………….………………….. ..3 一、设计要求 (4) 二、设计原理 (4) 三、设计思路 (4) 3.1、非门电路 (4) 3.2、二输入与非门电路 (6) 、二输入与门电路 (8) } 四、二输入与门电路设计 (9) 4.1、原理图设计 (9) 4.2、仿真分析 (10) 4.3、生成网络表 (13) 五、版图设计........................ (20) 、PMOS管版图设计 (20) 、NMOS管版图设计 (22) 、与门版图设计 (23)

集成门电路功能测试(三态门)

集成门电路功能测试实验报告 一实验内容 1 三态门的静态逻辑功能测试。 2 动态测试三台门。并画出三态门的输出特性曲线。输入为CP矩形波。 3 测试三态门的传输延迟时间。 4 动态测试三态门的电压传输特性曲线。输入为三角波。 二实验条件 硬件基础实验箱,函数信号发生器,双踪示波器,数字万用表,74LS125。 三实验原理 1 首先测试实验箱上提供的频率电源参数是否正确。 打开实验箱电源,把分别把5MHz的脉冲接入红表笔上,黑表笔接地。观察示波器显示波形的频率是否为5MHz,经过观察计算,波形频率接近5M。误差很小,从下图可以看出,ch1为输入波形一个周期占四个格子,可计算得到f=5MHz。 2 三态门的静态逻辑功能测试。(后面四个实验都是通过示波器在同一时刻测试 3动态测试三台门。并画出三态门的输出特性曲线。输入为CP矩形波。 使能端无效是波形:

使能端有效时输出波形 4 测试三态门的传输延迟时间。 通过测量同一时刻的输入输出波形,可以观察到三态门的输出延迟。得到波形图为

CH1,CH2分别为输入输出波形,可以看出在上升沿的输出延迟为10ns 然而下降沿的时候的截图已经丢失了,依稀记得在实验时候,测得是数据下降沿的输出延迟与上升沿的不一致,并且比上升沿的短。为9.6ns,其传输延迟为两个延迟的平均值9.8ns。 5 测试三态门的电压传输特性曲线。输入为三角波。 得到输入输出波形为:CH1为输入,CH2为输出。

得到阀值电压为0.92V。 四总结 这次实验基本上和上次实验的方法一样,没遇到什么大的问题。就是还是粗心。五评价 实验效果挺好。巩固了对逻辑器件的功能测试的方法和操作。

3.2模拟集成电路设计-差分放大器版图

集成电路设计实习Integrated Circuits Design Labs I t t d Ci it D i L b 单元实验三(第二次课) 模拟电路单元实验-差分放大器版图设计 2007-2008 Institute of Microelectronics Peking University

实验内容、实验目的、时间安排 z实验内容: z完成差分放大器的版图 z完成验证:DRC、LVS、后仿真 z目的: z掌握模拟集成电路单元模块的版图设计方法 z时间安排: z一次课完成差分放大器的版图与验证 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page1

实验步骤 1.完成上节课设计放大器对应的版图 对版图进行、检查 2.DRC LVS 3.创建后仿真电路 44.后仿真(进度慢的同学可只选做部分分析) z DC分析:直流功耗等 z AC分析:增益、GBW、PM z Tran分析:建立时间、瞬态功耗等 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page2

Display Option z Layout->Options ->Display z请按左图操作 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page3

由Schematic创建Layout z Schematic->Tools->Design Synthesis->Layout XL->弹出窗口 ->Create New->OK >选择Create New>OK z Virtuoso XL->Design->Gen From Source->弹出窗口 z选择所有Pin z设置Pin的Layer z Update Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page4

青岛农业大学电子设计自动化与专用集成电路课程设计报告汇总

青岛农业大学 理学与信息科学学院 电子设计自动化及专用集成电路 课程设计报告 设计题目一、设计一个二人抢答器二、密码锁 学生专业班级 学生姓名(学号) 指导教师 完成时间 实习(设计)地点信息楼121 年 11 月 1 日

一、课程设计目的和任务 课程设计目的:本次课程设计是在学生学习完数字电路、模拟电路、电子设计自动化的相关课程之后进行的。通过对数字集成电路或模拟集成电路的模拟与仿真等,熟练使用相关软件设计具有较强功能的电路,提高实际动手,为将来设计大规模集成电路打下基础。 课程设计任务: 一、设计一个二人抢答器。要求: (1)两人抢答,先抢有效,用发光二极管显示是否抢到答题权。 (2)每人两位计分显示,打错不加分,答对可加10、20、30分。 (3)每题结束后,裁判按复位,重新抢答。 (4)累积加分,裁判可随时清除。 二、密码锁 设计四位十进制密码锁,输入密码正确,绿灯亮,开锁;不正确,红灯亮,不能开锁。密码可由用户自行设置。 二、分析与设计 1、设计任务分析 (1)二人抢答器用Verilog硬件描述语言设计抢答器,实现: 1、二人通过按键抢答,最先按下按键的人抢答成功,此后其他人抢答无效。 2、每次只有一人可获得抢答资格,一次抢答完后主持人通过复位按键复位,选手再从新抢答。 3、有从新开始游戏按键,游戏从新开始时每位选手初始分为零分,答对可选择加10分、20分,30分,最高九十分。 4、选手抢答成功时其对应的分数显示。 (2)密码锁 1、第一个数字控制键用来进行密码的输入 2、第二个按键控制数字位数的移动及调用密码判断程序。当确认后如果显示数据与预置密码相同,则LED 亮;如不相等,则无反应。按下复位键,计数等均复位

三态输出电路

三态输出电路 就是具有高电平、低电平和高阻抗三种输出状态的门电路,又称三态门输出电路。在固态机互联板电路,“I/O”板电路中,除了以上几种组合门电路,三态门电路也是必不可少的。 一、电路组成 三态门电路主要有TTL三态门电路和CMOS三态门电路. 不难看出,二种输出三态门电路都是在普通门电路的基础上附加控制电路而构成. 二、工作原理 (1)TTL三态门电路工作原理图1给出了三态门的电路结构图及图形符号。其中控制端·EN为低电平时(面=口/,P点为高电平,二极管D截止,电路工作状态和普通的与非门没有区别。这时Y=·A’B,可能是高电子也可能是低电平,视A、B的状态而定。而当控制端EN为高电平时(EN=1),P点为低电平,它控制T1发射极,把VBl钳位在1V,使T,、T5载止。同时二极管D导通,T4的基极电位被钳在1V,使T4载止。由于T4、T5同时载止,所以输出端呈高阻状态o (2)图2中是将CMOS反相器的输出端同一个模拟开关相串联,即可组成三态门。图中T,、T2组成反相器,TG和反相器3组成模拟开关,其工作原理是:当控制端电压Ve =1时,由于模拟开关断开,输出端与电源Vm,输出端与地都相当于开路,故呈现高阻抗状态。当Ve=OV时,模拟开关闭合,输出电压VY取决于反相器的输入电压。若V4= OV,则T1截止,T2导通,VY=VDD,输出高电平;若Va=1,则Tl导通,T2载止,VY=OV,输出低电平。 上述电路中,控制端EN为低电平时与非门处于工作状态,所以该电路为低电平有效同样还有高电平有效控制电路。 三、三态门电路的应用 (1)多路信号分时传递 在一些复杂的数字系统(象固态机的互联板,U0板等)中,为了减少各个单元电路之间连线的数目,希望能在同一条导线上分时传递若干个门电路的输出信号。这时可采用图3所示的连接方式。图中G1-Gn。均为三态与非门。只要在工作时控制各个门的En端轮流等于“1”,而且任何时候仅有一个等于“1”就可以把各个门的输出信号轮流送到公共的传输线一总线上而互不干扰。 (2)用作双向传输的总线接收器 利用三态输出门电路还能实现数据的双向传输。固态机数据传送这种功能也是常用的。 在图4电路中,当E。=1时,C:工作而C2为高阻抗,数据D。经C1反相后送到总线上去。当皿=0时,C2工作而C1为高阻抗,来自总线的数据经C2反相后由D,送出。 三态输出门电路(TS(Three-state output Gate)门)

集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案:

《集成电路设计》课程设计实验报告

《集成电路设计》课程设计实验报告 (前端设计部分) 课程设计题目:数字频率计 所在专业班级:电子科 作者姓名: 作者学号: 指导老师:

目录 (一)概述 2 2 一、设计要求2 二、设计原理 3 三、参量说明3 四、设计思路3 五、主要模块的功能如下4 六、4 七、程序运行及仿真结果4 八、有关用GW48-PK2中的数码管显示数据的几点说明5(三)方案分析 7 10 11

(一)概述 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得十分重要。测量频率的方法有多种,数字频率计是其中一种。数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,是一种用十进制数字显示被测信号频率的数字测量仪器。数字频率计基本功能是测量诸如方波等其它各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 频率计的基本原理是应用一个频率稳定度高的时基脉冲,对比测量其它信号的频率。时基脉冲的周期越长,得到的频率值就越准确。通常情况下是计算每秒内待测信号的脉冲个数,此时我们称闸门时间是1秒。闸门时间也可以大于或小于1秒,闸门的时间越长,得到的频率值就越准确,但闸门的时间越长则每测一次频率的间隔就越长,闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。 本文内容粗略讲述了我们小组的整个设计过程及我在这个过程中的收获。讲述了数字频率计的工作原理以及各个组成部分,记述了在整个设计过程中对各个部分的设计思路、程序编写、以及对它们的调试、对调试结果的分析。 (二)设计方案 一、设计要求: ⑴设计一个数字频率计,对方波进行频率测量。 ⑵频率测量可以采用计算每秒内待测信号的脉冲个数的方法实现。

三态逻辑与非门基本输出状态及其应用电路解析

三态逻辑与非门基本输出状态及其应用电路解析 我们常说三态门,那么三态门到底是什么呢?三态又指的是哪三态呢?别急,接下来我会你具体讲解什么是三态门,以及它的应用电路解析。 什么是三态门?三态门,是指逻辑门的输出除有高、低电平两种状态外,还有第三种状态——高阻状态的门电路高阻态相当于隔断状态。三态门都有一个EN控制使能端,来控制门电路的通断。可以具备这三种状态的器件就叫做三态(门,总线,。..。..)。 举例来说: 内存里面的一个存储单元,读写控制线处于低电位时,存储单元被打开,可以向里面写入;当处于高电位时,可以读出,但是不读不写,就要用高电阻态,既不是+5v,也不是0v 计算机里面用1和0表示是,非两种逻辑,但是,有时候,这是不够的, 比如说,他不够富有但是他也不一定穷啊,她不漂亮,但也不一定丑啊, 处于这两个极端的中间,就用那个既不是+也不是―的中间态表示,叫做高阻态。 高电平,低电平可以由内部电路拉高和拉低。而高阻态时引脚对地电阻无穷,此时读引脚电平时可以读到真实的电平值。 高阻态的重要作用就是I/O(输入/输出)口在输入时读入外部电平用. 1. 三态门的特点 三态输出门又称三态电路。它与一般门电路不同,它的输出端除了出现高电平、低电平外,还可以出现第三个状态,即高阻态,亦称禁止态,但并不是3个逻辑值电路。 2. 三态逻辑与非门 三态逻辑与非门如图Z1123所示。这个电路实际上是由两个与非门加上一个二极管D2组成。虚线右半部分是一个带有源泄放电路的与非门,称为数据传输部分,T5管的uI1、uI2称为数据输入端。而虚线左半部分是状态控制部分,它是个非门,它的输入端C称为控制端,或称许可输入端、使能端。 当C端接低电平时,T4输出一个高电平给T5 ,使虚线右半部分处于工作状态,这样,电

集成电路综合实验报告

集成电路设计综合实验 题目:集成电路设计综合实验 班级:微电子学1201 姓名: 学号:

集成电路设计综合实验报告 一、实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 图1 1.1 查阅相关资料,反向提取给定电路模块,并且将其整理、合理布局。 1.2 建立自己的library和Schematic View(电路图如下图2所示)。 图2 1.3 进行仿真验证,并分析其所完成的逻辑功能(仿真波形如下图3所示)。

图3 由仿真波形分析其功能为D锁存器。 锁存器:对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程。 只有在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号。其中使能端A 加入CP信号,C为数据信号。输出控制信号为0时,锁存器的数据通过三态门进行输出。所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。锁存,就是把信号暂存以维持某种电平状态。 1.4 生成Symbol测试电路如下(图4所示) 图4

集成电路课程设计报告书

集成电路原理及应用课程设计报告 \\ 题目 DDS芯片AD9850原理及应用 授课教师 学生 学号 专业

教学单位 完成时间 2011年7月1日 摘要:介绍了美国A D公司采用先进的直接数字频率合成 ( DDS )技术推出的高集成度频率合成器 A D9 8 5 0的工作原理、主要特点及其与 MCS51单片机的接口,并给出了接口电路图和部分源程序。同时给出了以AD9850为频率合成器,以AT89S52单片机为进程控制和任务调度核心来设计一个信号频率和幅度都能预置且频率稳定度高的函数信号发生器的设计方法. 引言 随着“软件无线电”技术和数字技术的飞速发展,用数字控制方法从一个参考频率源产生多种频率的技术——直接数字合成器(Direct Digital Synthesizer。DDS)被广泛应用。具体体现在相对带宽宽、频率转换时间短、频率分辨率高、输出相位连续、可产生宽带正交信号及其他多种调制信号、可编程和全数字化、控制灵活方便等方面,并具有极高的性价比。现已广泛应用于通讯、导航、雷达、遥控遥测、电子对抗以及现代化的仪器仪表工业等领域。美国AD公司推出的高集成度的采用先进的CMOS技术的直接频率合成器AD9850是DDS技术的典型产品之一。AD9850是高稳定度的直接数字频率合成器件,部数据输入寄存器、可编程DDS系统、高性能数/模转换器(DAC)及高速比较器,能实现全数字编程控制的频率合成器和时钟发生器,如接上精密时钟源,AD9850可产生一个频谱纯净、频率和相位都可编程控制的正弦信号。AD9850中包含高速比较器,正弦波也可直接用作频率信号源,也可通过比较器转换成方波,作为时钟输出。本文主要介绍了高集成度频率合成器 A D9 8 5 0的工作原理、主要特点及其与 MCS51单片机的接口及应用设计。 一.特性: 1)最高125MHz的时钟频率; 2)片集成高性能模数变换器(10位ADC)和高速比较器; 3)具有良好的动态性能:在40MHz输出时,DAC的抑制寄生动态围(SFDR)仍大于50dB; 4)供电模式可选:+5v或+3.3v单电源供电;

模拟集成电路设计经典教材

1、 CMOS analog circuit design by P.E.ALLEN 评定:理论性90 实用性70 编写 100 精彩内容:运放的设计流程、比较器、 开关电容 这本书在国内非常流行,中文版也 翻译的很好,是很多人的入门教材。 建议大家读影印版,因为ic 领域 的绝大部分文献是以英文写成的。 如果你只能读中文版,你的学习资料 将非常有限。笔者对这本书的评价 并不高,认为该书理论有余,实用性 不足,在内容的安排上也有不妥的地 方,比如没有安排专门的章节讲述反 馈,在小信号的计算方面也没有巧方法。本书最精彩的部分应该就是运放的设计流程了。这是领域里非常重要的问题,像Allen 教授这样将设计流程一步一步表述出来在其他书里是没有的。这正体现了Allen 教授的治学风格:苛求理论的完整性系统性。但是,作为一项工程技术,最关键的是要解决问题,是能够拿出一套实用的经济的保险的方案。所以,读者会发现,看完最后一章关于ADC/DAC 的内容,似乎是面面俱到,几种结构的ADC 都提到了,但是当读者想要根据需求选择并设计一种ADC/DAC 时,却无从下手。书中关于比较器的内容也很精彩,也体现了Allen 教授求全的风格。不过,正好其它教科书里对比较器的系统讲述较少,该书正好弥补了这一缺陷。Allen 教授是开关电容电路和滤波器电路的专家。书中的相关章节很适合作为开关电容电路的入门教材。该书的排版、图表等书籍编写方面的工作也做的很好。像Allen 这样的理论派教授不管在那所大学里,大概都会很快的获得晋升吧。另外,Allen 教授的学生Rincon Moca 教授写的关于LDO 的书非常详尽,值得一读。 2、 CMOS Circuit Design Layout and Simulation CMOS Mixed-Signal Circuit Design by R.J.Baker 评定:理论性80 实用性100 编写80 精彩内容:数据转换器的建模和测量、hspice 网表这本书的风格和Allen 的书刚好相反: 理论的系统性不强,但是极为实用,甚至给出 大量的电路仿真网表和hspice 仿真图线。 这本书的中文版翻译的也很好。最近出了第二 版,翻译人员换了,不知道翻译的水平如何。 不过,第二版好贵啊~~ Baker 教授在工业界 的实战经验丰富,曾经参加过多年的军方项目 的研发,接收器,锁相环,数据转换器,DRAM 等曾设计过。所以,书中的内容几乎了包含 了数字、模拟的所有重要电路,Baker 教授

三态门

三态门实验报告 实验目的: 1,测试三态门静态逻辑功能; 2.测试三态门动态逻辑功能; 3.测试三态门信号传输延迟时间; 4.测试三态门电压传输特性曲线。 实验器材: 74LS125 实验箱万用表信号发生器、示波器。 实验内容: (1).测试三态门静态逻辑功能。 实验步骤: 1.连线。7接地,14接5V的电压,1、2接电平按键。 2.用万用表测试2的电压、3的电压。改变1的开关,重复测量步骤。 3.记录数据,整理分析。 (2)测试三态门动态逻辑功能。 1. ch1测量三态门的2的输入波形,ch2三态门的3的输出波形,2 接入cp。其他的保持不变。 2. 调试,直至出现正确合适的波形为止。保存波形。 (3)测试三态门信号传输延迟时间; 1.将2接入的是5KHz的脉冲,其他的保持不变。 调节出现正确的波形。2.保存波形,记录脉冲上升沿的延迟时间和脉冲下降沿的延迟时间。

3.记录数据,整理分析。 (4)测试三态门电压传输特性。 1. 2接入的是信号发生器的三角波,其他的保持不变。实验结果显示: (1)测试三态门的静态逻辑功能。、 (2)测试三态门的动态逻辑功能 En=0时的波形如下: En=1时的波形:

(3)测量三态门的信号传输 信号上升沿的传输延迟曲线如下: 信号下降沿传输特性曲线: (4)三态门电压传输特性曲线:

实验数据记录及其分析: (1)测试三态门静态逻辑功能; 数据分析结论:三态门的静态逻辑功能如下: (2)测试三态门的动态逻辑功能

数据分析:三态门在使能en=0时逻辑功能正常,在en=1时处于高阻态。 (3)测试信号传输的延迟时间 (4)测试三态门电压传输曲线 实验总结: 实验中起初自己准备的资料未能很好的利用,对实验原理和实验结果的认识及其分析不够到位。 实验评价: 此次实验不太顺利。

模拟集成电路设计期末试卷..

《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_ 较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来 表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输 出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制 沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为__ C F(1-A)__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS=V TH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

模拟集成电路课程设计

模拟集成电路课程设计 设计目的: 复习、巩固模拟集成电路课程所学知识,运用EDA 软件,在一定的工艺模型基础上,完成一个基本功能单元的电路结构设计、参数手工估算和电路仿真验证,并根据仿真结果与指标间的折衷关系,对重点指标进行优化,掌握电路分析、电路设计的基本方法,加深对运放、带隙基准、稳定性、功耗等相关知识点的理解,培养分析问题、解决问题的能力。 实验安排: 同学们自由组合,2 人一个设计小组选择五道题目中的一道完成,为了避免所选题目过度集中的现象,规定每个题目的最高限额为 4 组。小组成员协调好每个人的任务,分工合作,发挥团队精神,同时注意复习课堂所学内容,必要时查阅相关文献,完成设计后对 验收与考核: 该门设计实验课程的考核将采取现场验收和设计报告相结合的方式。当小组成员完成了所选题目的设计过程,并且仿真结果达到了所要求的性能指标,可以申请现场验收,向老师演示设计步骤和仿真结果,通过验收后每小组提交一份设计报告(打印版和电子版)。其中,设计指标,电路设计要求和设计报告要求的具体内容在下面的各个题目中给出了参考。成绩的评定将根据各个小组成员在完成项目中的贡献度以及验收情况和设计报告的完成度来确定。 时间安排: 机房开放时间:2013 年10 月28 日~11 月8 日,8:30~12:00,14:00~18:00 课程设计报告提交截止日期:2012 年11 月15 日 该专题实验的总学时为48 学时(1.5 学分),请同学们安排好知识复习,理论计算与上机设计的时间,该实验以上机设计为主,在机房开放时间内保证5 天以上的上机时间,我们将实行每天上下午不定时签到制度。 工艺与模型: 采用某工艺厂提供的两层多晶、两层金属(2p2m)的0.5um CMOS 工艺,model 文件为/data/wanghy/anglog/model/s05mixdtssa01v11.scs 。绘制电路图时,器件从/data/wanghy/ anglog/st02 库中调用,采用以下器件完成设计: 1)PMOS 模型名mp,NMOS 模型名mn;2) BJT 三种模型可选:qvp5,qvp10,qvp20;3) 电阻模型rhr1k; 4)电容模型cpip。

cmos模拟集成电路设计_实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

北京邮电大学电子工程学院2013211207班何明枢CMOS模拟集成电路与设计实验报告 目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (15) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

模拟集成电路设计软件使用教程

模拟集成电路设计软件实验教程 月4年2006

1 目录 实验一自上而下(Top-Down)的电路设计 (3) Lab 1.1 启动软件 (3) Lab 1.2 自上而下的系统级仿真 (3) Lab 1.3 电路图输入 (7) Lab 1.4 模块的创建 (10) Lab 1.5 电源的创建 (12) Lab 1.6 建立运放测试电路 (14) 实验二使用Spectre Direct进行模拟仿真 (17) Lab 2.1 运行仿真 (17) Lab 2.2 使用激励模板 (28) Lab 2.3 波形窗的使用 (32) Lab 2.4 保存仿真状态 (36) Lab 2.5 将仿真结果注释在电路图窗口 (37) 2 实验一自上而下(Top-Down)的电路设计Lab 1.1 启动软件 实验目的: 掌握如何启动模拟电路设计环境.

实验步骤: 1.进入Linux界面后,点击鼠标右键,选中New Terminal,则会弹出一个交互终端. 2.进入教程所在目录后,输入命令cd Artist446 (注意:cd后必须有空格;命令行大小写敏感) 3.在同一个交互终端内,输入命令icms &,在屏幕底部会出现一个命令交互窗(Command Interpreter Window,CIW).如果出现What's New窗口,可使用File-Close命令关闭. Lab 1.2 自上而下的系统级仿真 实验目的: 掌握如何对含AHDL模块的模块级设计进行仿真. 实验步骤: 1.在CIW中选择Tool-Library Manager,会弹出库管理器(Library Manager). 2.在库管理器中,用鼠标左键选中training,则cell中会显示出training库中所有的cell;在training 的所有cell中用左键选中peakTestv;用鼠标中键(或右键)打开(open)view中的schematic.将会出现如下图所示的测试电路: 3 点击左当该模块四周出现一高亮黄色虚线框时,将鼠标置于图中peakDetectv模块上,3. . ,则模块四周线框变为白色实线框键选中该模块EditDesign-Hierarchy-Descend 设置Name将View ,,弹出Descend对话框4.选择: peakDetectv模块的电路图OK.为schematic,然后点击则出现

集成电路设计基础 课后答案

班级:通信二班姓名:赵庆超学号:20071201297 7,版图设计中整体布局有哪些注意事项? 答:1版图设计最基本满足版图设计准则,以提高电路的匹配性能,抗干扰性能和高频工作性能。 2 整体力求层次化设计,即按功能将版图划分为若干子单元,每个子单元又可能包含若干子单元,从最小的子单元进行设计,这些子单元又被调用完成较大单元的设计,这种方法大大减少了设计和修改的工作量,且结构严谨,层次清晰。 3 图形应尽量简洁,避免不必要的多边形,对连接在一起的同一层应尽量合并,这不仅可减小版图的数据存储量,而且版图一模了然。 4 在构思版图结构时,除要考虑版图所占的面积,输入和输出的合理分布,较小不必要的寄生效应外,还应力求版图与电路原理框图保持一致(必要时修改框图画法),并力求版图美观大方。 8,版图设计中元件布局布线方面有哪些注意事项? 答:1 各不同布线层的性能各不相同,晶体管等效电阻应大大高于布线电阻。高速电路,电荷的分配效应会引起很多问题。 2 随器件尺寸的减小,线宽和线间距也在减小,多层布线层之间的介质层也在变薄,这将大大增加布线电阻和分布电阻。 3 电源线和地线应尽可能的避免用扩散区和多晶硅布线,特别是通过

较大电流的那部分电源线和地线。因此集成电路的版图设计电源线和地线多采用梳状布线,避免交叉,或者用多层金属工艺,提高设计布线的灵活性。 4 禁止在一条铝布线的长信号霞平行走过另一条用多晶硅或者扩散区布线的长信号线。因为长距离平行布线的两条信号线之间存在着较大的分布电容,一条信号线会在另一条信号线上产生较大的噪声,使电路不能正常工作。、 5 压点离开芯片内部图形的距离不应少于20um,以避免芯片键和时,因应力而造成电路损坏。

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

相关文档
最新文档