dds实验报告

dds实验报告
dds实验报告

南京理工大学

电子线路课程设计

--------直接数字频率合成器

学生姓名:林晓峰学号:912104220143 专业:通信工程

指导教师:谭雪琴

2014年12月18日

摘要:

本次实验利用QuartusII7.0软件并采用DDS技术、FPGA芯片和D/A转换器,设计了一个直接数字频率信号合成器,具有频率控制、相位控制、测频、显示多种波形等功能。

并利用QuartusII7.0软件对电路进行了详细的仿真,同时通过SMART SOPC实验箱和示波器对电路的实验结果进行验证。Abstract:

This experient introduces using QuartusII7.0software, DDS technology,FPGA chip and D/A converter to design a multi—output waveform signal generator in which the frequency and phase are controllable and test frequency,display waveform.

It also make the use of software QuartusII7.0 a detailed circuit simulation, and verify the circuit experimental results through SMART SOPC experiment box and the oscilloscope.

关键词:QuartusII7.0 数字频率信号合成器频率控制相位控制测频示波器 SMART SOPC实验箱

Keywords:QuartusII7.0 multi—output waveform signal- generator frequency controllable phase controllable test frequency oscilloscope Smart SOPC box

目录

封面 (1)

摘要 (2)

Abstract (2)

目录 (3)

一.实验要求 (4)

二、电路工作原理 (4)

三、各子模块电路设计 (5)

3.1脉冲发生电路 (5)

3.2 频率与相位输入电路 (9)

3.3 累加寄存模块 (10)

3.4 相位控制电路 (12)

3.5 ROM电路模块 (13)

3.6 测频电路模块 (14)

3.7 动态显示模块 (15)

3.8波形选择输出模块 (16)

四、总电路图 (17)

五、电路下载 (19)

六、波形显示 (20)

七、实验感想收获 (21)

八、附录 (22)

九、参考文献 (23)

一、实验要求

设计一个频率及相位均可控制的具有正弦和余弦输出的直接数字频率合成器

(Direct Digital Frequency Synthesizer 简称DDFS或DDS)。要求具有频率

控制、相位控制、测频、切换波形,动态显示以及使能开关等功能。利用

Quartus II7.0完成设计、仿真等工作。并利用SmartSOPC实验箱实现电路,用

示波器观察输出波形。

设计基本要求:

1、利用QuartusII软件和SmartSOPC实验箱实现DDS的设计。

2、DDS中的波形存储器模块用Altera公司的Cyclone系列FPGA 芯片中的RAM实现,RAM结构配置成4096×10类型。

3、具体参数要求:频率控制字K取4位;基准频率fc=1MHz,由实验板上的系统

时钟分频得到;

4、系统具有使能功能;

5、利用实验箱上的D/A转换器件将ROM输出的数字信号转换为模拟信号,能够

通过示波器观察到正、余弦两路波形;

6、通过开关(实验箱上的Ki)输入DDS的频率和相位控制字,并能用示波器观

察加以验证;

设计提高部分要求:

1、在数码管上显示生成的波形频率;

2、设计能输出多种波形(三角波、锯齿波、方波等)的多功能波形发生器;

二、电路工作原理

DDS主要由相位累加器、相位调制器、正弦波数据表(ROM)、D/A转换器构成。

相位累加器由N位加法器和N位寄存器构成。每来一个时钟信号,加法器就将频

率控制字k与累加寄存器输出的累加相位数据相加,相加的结果又反馈送至累加

寄存器的数据输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字

相加。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相位相加。

由此可以看出,相位累加器在每一个时钟脉冲输入时,把频率控制字累加一次,

相位累加器输出的数据就是合成信号的相位,相位累加器的溢出频率就是DDS

输出的信号频率。用相位累加器输出的数据作为波形存储器(ROM )的相位取样地址,这样就可以把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出,完成相位到幅值的转换。

如果设置相位控制字P ,那么把相位控制字与相位寄存器的输出相加所得的结果作为正弦查找表的地址,就可以控制改变DDS 输出正弦波的初始相位。原理图如下:

正弦查找表由ROM 构成,内部存有一个完整周期正弦波的数字幅度信息,每个查找表的地址对应正弦波中0-360°范围内的一个相位点,查找表把输入的地址信息映射成正弦波的数字幅度信号。然后将波形存储器的输出送到D/A 转换器,由D/A 转换器将数字信号转换成模拟信号输出。而D/A 输出的模拟信号经过低通滤波器,就可以得到一个频谱纯净的正弦波。

三、各子模块电路设计

3.1 脉冲发生电路

实验箱只提供48MHz 的时钟信号,这个实验中需用到1MHz,1KHz,1Hz ,0.5Hz

4

D/A (1)

D/A (2)

频率和相位均可控制的具有正弦和余弦输出的DDS

核心单元电路示意图

这四个频率,需要对48MHz信号进行分频以得到所需的时钟信号:

48MHz--48分频→1MHz—1000分频→1KHz—1000分频→1Hz—2分频→0.5Hz 3.1.1 48分频电路

48分频电路由一个74160和一个74161构成,74160的RCO端作为74161的进位信号,当计数到47时,并接的置数端置0,实现48分频。

仿真波形如下:

48分频封装图如下:

3.1.2 1000分频电路

1000分频器需要三片74160,完成0~999计数,因为每片74160是模十计数器,直接将三片级联就可以实现模1000计数,最高位输出作为下一级的时钟输入。

仿真波形如下:

1000分频封装图:

3.1.3 2分频电路

仿真波形如下:

封装图如下:

最后将以上所有小电路模块进行封装,得到整个脉冲发生电路模块,电路原理图如下:

封装后电路模块如下:

3.2 频率与相位输入电路

本设计采用4位频率控制字和4位相位控制字进行步长与相位的控制。在实验中用12位的高4位作为相位控制字,低4位作为频率控制字。用一片74161(十六进制)实现计数,通过开关控制计数器的ENP和CLR得到所需的频率和相位的二进制数表示。同时使用两片74160组成10进制的计数器与74161同步计数、同步置数,可以实现二进制数到8421BCD码的转换,并通过数码管显示出来,以便于调控所需的频率相位。

3.2.1频率和相位预置电路原理图如下:

封装后电路图如下:

3.2.2 BCD码转换电路

封装图如下:

3.3 累加寄存电路

相位累加器是一个带有累加功能的12位加法器,每来一个时钟信号(1MHZ),加法器就将频率控制字k与累加寄存器输出的累加相位数据相加,相加的结果又反馈送至累加寄存器的数据输入端。

3.3.1 12位加法器的内部电路图如下:

加法器封装电路:

3.3.2 12位寄存器的内部电路图如下:

封装图如下:

将加法器和寄存器连接起来,构成累加器电路:

其封装图如下:

k[4..1]为频率控制字,a[11..0]为经过相位累加器输出的12位信号。

3.4 相位控制电路

设置相位控制字P,把相位控制字与相位寄存器的输出高四位相加,所得的结果作为ROM的地址,就可以控制改变DDS输出的初始相位。

电路原理图如下:

封装图如下:

3.5 ROM模块电路

正弦查找表ROM是DDS最关键的部分,设计时首先需对正弦函数进行离散采样,接着将采样的结果放到ROM模块的对应存储单元中,每一位地址对应一个数值,输出为10位。ROM中必须包含完整的正弦采样值,此设计采样12

2个点。

mif文件的生成:用matlab软件生成正弦波的采样幅值,并以表格形式进行存储,总共有4096个值,然后新建Memory Initialization file后会生成一个类似Excel的表单,我们只需把matlab生成的4096个幅值复制到这个表单中。

生成正弦的matlab程序如下:

clear

clc

t=2*pi/4096;

k=[0:t:2*pi];

y=round(511*sin(k)+512);

z=y'

xlswrite('dissin.xlsx',z)

将所生成的数据拷贝到mif表单中,正弦的MIF文件如下图:

做一个ROM器件,而将刚刚制作好的正弦的MIF文件的内容导入该ROM中即可。

制作好的正弦ROM如下:

同理,余弦,锯齿,三角,方波的ROM制作同上,只需要在matlab中输入相应的程序即可。

3.6测频电路模块

对于测频电路模块,在ROM里预先存储的正弦函数幅度值输出的最高位每个周期由0-1的变化只有一次。只要测试出一秒中其变化的次数即是其输出正弦波的频率。用一个频率为0.5赫兹、占空比为50%的脉冲,再用一个计数器记录下这期间的脉冲个数,就实现了测频。

测频电路图如下:

由四个74160构成计数部分,CLR端接0.5Hz的信号,CLK端接累加器输出的最高位,在一秒内计数即为频率。4个74175寄存器由于是上升沿触发,所以在CLK 端加了一个非门,计完数后在上升沿到来时,将计数结果从寄存器输出,接显示电路在数码管上显示出来。

测频电路封装如下:

3.7 动态显示模块

显示电路使用一片译码器,轮流扫描显示频率相位控制字以及输出频率。两位显示频率,两位显示相位,4位显示频率。因此可以使用四个八选一数据选择器依次选择八路信号通过译码器7447,并用74138控制对应的数码管显示。而对于显示信号的输出,用一个模6计数器计数就可以简单的实现控制。

显示电路如下:

其中32选4电路图为:

显示电路封装图如下:

3.8波形选择模块

Smart SOPC实验箱有2片D/A芯片,我用一片显示正弦波形,一片选择输出余弦、方波、三角波、锯齿波。利用数据选通器74153,通过2个开关的拨动

选择需要输出的信号,由于ROM的输出信号有10位,所以需要五个双四选一数据选择器,电路原理图如下:

封装后的电路模块如下:

四、总电路图

将各模块结合起来,就可以构成DDS的整体电路。具体各模块连接方式如下图所示:

五、电路下载

将设计好的电路下载到实验箱上之前,必须先对每个管脚进行分配。具体操作为选择“Assignments﹣Pins”,打开“Pin Planner”对话框。在All Pins 一栏的location位置出写入每个输入输出端口对应的管脚号。

管脚分配情况如下图所示:

为了防止烧坏芯片我们还要将不用的管脚选择输出高阻态。具体操作为选择“Assignments-Device”,此时打开“Setting”对话框,在“Device”一栏,打开“Device & Pin Options”对话框,选择“Unused Pins”标签,在Reserve all unused pins处选择“As input tri-stated”将没有用到的管脚设置为高阻态。打开实验箱电源开关,点击主编辑页面的下载按钮,当出现下载界面后,选中“Program configure”,点击“Start”按钮,开始从实验箱下载。

六、波形显示

将示波器连接D/A芯片后,输出两路波形。一路输出正弦波,通过波形控制开关,另一路可以选择输出余弦、方波、三角波、锯齿波。

显示图形如下:

基于FPGA的DDS设计

一、实验名称:基于F P G A的D D S信号源设计 二、技术规范: 1.实验目标: 设计一个直接数字频率合成(DDS,Direct Digital Synthesis),DDS是一种新型的频率合成技术。DDS 技术是一种把一系列数字形式的信号通过DAC 转换成模拟信号的合成技术。 DDS 技术具有频率切换时间短,频率分辨率高,频率稳定度高,输出信号的频率和相位可以快速切换,输出相位可连续,并且在改变时能够保持相位的连续,很容易实现频率、相位和幅度的数字控制。它在相对带宽、频率转换时间、相位连续性、高分辨率以及集成化等一系列性能指标方面远远超过了传统频率合成技术。 因此在现代电子系统及设备的频率源设计中,尤其在通信领域,直接数字频率合成器的应用越来越广泛。 2.实现功能: 本实验最后将设计出一个具有频率控制和相位移控制功能的DDS。 3.引脚: 本实验有三个输入端口,8位的频率控制字端口,分别接8个开关按键,8位的相位控制字端口,分别接另外的8个开关按键,系统时钟输入端口;一个8位输出端口,接D/A的输入端口。FPGA板上的时钟频率为50MHz,本实验将其10分频后得到5MHz再使用。 三.总体设计方案; 原理: 实验采用目前使用最广泛的一种DDS 方式是利用高速存储器作查找表,然后通过高速DAC 输出已经用数字形式存储的波形。 图1:DDS 系统的基本原理图 图1中虚方框部分是DDS 的核心单元,它可以采用CPLD/FPGA 来实现。图中的相位累加器由N位全加器和N位累加寄存器级联而成,可对频率控制字的二进制码进行累加运算,是典型的反馈电路。 频率控制字M和相位控制字分别控制DDS 输出正(余)弦波的频率和相位。每来一个时钟脉冲,相位寄存器以步长M递增。相位寄存器的输出与相位控制字相加,其结果作为正(余)弦查找表的地址。正(余)弦查找表的数据存放在ROM中,内部存有一个周期的正弦波信号的数字幅度信息,每个查找表的地址对应于正弦波中0°~360°范围内的一个相位点。查找表把输入的址信息映射成正(余)弦波的数字幅度信号,同时输出到数模转换器DAC 的输入端,DAC输出的模拟信号经过低通滤波器(LPF),可得到一个频谱纯净的正(余)弦波。 DDS 具体工作过程如下:每来一个时钟脉冲clk,N 位全加器将频率控制数据M 与累加寄存器输出的累加相位数据N 相加,把相加后的结果送至累加寄存器的输入端。累加寄存器一方面将上一时钟周期作用后所产生的新的数据反馈到加法器的输入端,以使加法器在下一时钟的作用下继续与频率控制数据M 相加;另一方

小学自然实验报告样板.doc

小学自然实验报告模板 教学模式是在一定的教学思想或教学理论的指导下建立起来的,较为稳定的教学活动结构框架和活动程序。“结构框架”意在从宏观把握教学活动整体各要素之间的内部关系;“活动程序”意在突出教学模式的有序性和可行性。 自然学科是人类在认识自然的过程中所积累的知识。它与人的认识过程有较高的一致性,最适用于发现式的学习方法。实验是传授自然科学知识和培养与发展学生各种能力的重要手段。我校的教研组推出的四环节实验课教学模式,以其较完美的操作性、开放性、优效性和灵活性形成了自然实验课的基本框架,较好地揭示课堂教学的一般程序、课堂教学诸因素的内在联系和课堂教学的普遍规律。现就模式谈一下我在教学中的实践与几点体会。 一、教学模式的四个环节在实践中的具体运用 (一)提出问题阶段 提出问题阶段是当研究一个问题时,为了激发学生的求知欲望,引导学生探索并调动他们积极性的阶段。教师可结合要研究的问题,用生动形象的语言恰如其分地提问,让学生在观察和思维中发现问题。 例如,《物体的热胀冷缩》一课,先进行演示实验,在铁架台上放一平底烧瓶,瓶中装满水,用酒精灯加热,水还没烧开,瓶中的水就往外溢。教师接着问大家,你们看了这个现象有什么想法?学生一下子提出许多问题:“为什么水加热后往上溢呢?”

“水难道会变多吗?” 教学时,为了激发学生探求知识的欲望,应千方百计创造性地运用各种方法,如:做游戏、讲故事、变魔术、猜谜语、出示挂图、运用幻灯等。引起学生要研究问题的兴趣,提出自己的想法。 (二)作出假设阶段 学生提出了问题,但在还没有学习有关的知识时,教师引导学生对自己的问题作出假设的回答。教师再从学生假设中引导学生逐渐进入要研究的问题中去。 例如,《水蒸气的凝结》,教师将还在冒白气的温水杯加盖,过一会儿再揭开盖,请同学们看盖上的水珠,水蒸气碰到什么样的物体在上面结成水珠呢?引导学生作出假设,发表不同意见。有的同学说:“水蒸气遇到热的物体结成水珠。”有的说:“水蒸气遇到冷的物体结成水珠。”教师接着说:“那么我们就一起研究一下,水蒸气在什么条件下能变成水呢?”这样就逐渐地把学生引入要研究的课题。 在这个阶段中,学生根据已有知识的经验,通过演绎、归纳、推理而提出的假设,不少带有猜测的性质。此时教师要引导学生积极作出假设,不应压抑学生的思维,不管是对是错,都不要忙于作出评价。 (三)设计实验阶段

DDS实验报告

南京理工大学电类综合实验 实验报告 作者: 徐伟伟学号:314101002254 学院(系):机械工程 专业: 机械制造及其自动化 题目: 直接数字频率合成器(DDS) 指导老师:花汉兵 2015年6月

摘要:本文介绍了直接数字式频率合成器(DDS)的设计以及其附加功能的拓展,主要包括了频率控制、加法电路、相位控制、测频电路、译码显示、输出多种波形(包括正余弦、三角波、锯齿波、方波梯形波)、D/A转换等模块。文中详细说明了实验原理,并用Quartus II 软件对各模块进行电路设计,最后在SmartSOPC 实验箱上演示得到了预期的实验结果。 关键词:DDS 实验原理电路设计Quartus II SmartSOPC Abstract:This paper introduces the design of Direct Digital Frequency Synthesizer (DDS) and its additional function, mainly including the frequency control, add circuit, the phase control, frequency measuring circuit, decoding display and the output of a variety of waveform (including cosine, triangular wave, sawtooth wave and square wave), D/A conversion etc. In this paper, the experimental principle is described in detail, and the circuits of each module are designed with the use of Quartus II software. Finally, the desired results are presented on the SmartSOPC experiment box. Key words: DDS, experiment principle, circuit design, Quartus II, SmartSOPC

DDS信号发生器电路设计

1. 信号产生部分 1.1 频率控制字输入模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity ddsinput is port(a,b,c,clk,clr:in std_logic; q1,q2,q3,q4,q5:buffer unsigned(3 downto 0)); end ddsinput; architecture a of ddsinput is signal q:std_logic_vector(2 downto 0); begin q<=c&b&a; process(cp,q,clr) begin if clr='1'then q1<="0000";q2<="0000";q3<="0000";q4<="0000";q5<="0000"; elsif clk 'event and clk='1'then

DDS信号信号发生器电路设计 case q is when"001"=>q1<=q1+1; when"010"=>q2<=q2+1; when"011"=>q3<=q3+1; when"100"=>q4<=q4+1; when"101"=>q5<=q5+1; when others=>NULL; end case; end if; end process; end a; 1.2 相位累加器模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity xiangwei is port(m:in std_logic_vector(19 downto 0); clk,clr:in std_logic; data:out std_logic_vector(23 downto 0)); end xiangwei; architecture a of xiangwei is signal q:std_logic_vector(23 downto 0); begin process(clr,clk,m,q) begin if clr='1'then q<="000000000000000000000000"; elsif (clk'event and clk='1')then q<=q+m; end if; data<=q; end process; end a;

WORD实验报告

word基本操作实验报告 一、实验目的与要求 1.掌握word的基本操作; 2.掌握字符格式、段落格式和页面格式等排版技术; 3.掌握图文混排、表格处理和邮件合并技术; 4.熟悉个人名片或毕业论文的设计与制作; 5.学会自己提出问题,并得出解决问题的方法。 二、实验内容与方法 1.word的基本操作,通过上机摸索,并查阅书籍网络了解。 2.word的字符格式,段落格式和页面格式等排版技术,通过上机摸索,并查阅书籍网络了解。 3.word的图文混排、表格处理和邮件合并技术,通过上机摸索,并查阅书籍网络了解。 4. 通过word进行个人名片或毕业论文的设计与制作,通过上机摸索,并查阅书籍网络了解。 三、实验步骤与过程 1.word的基本操作:①启动word软件 (1) 启动“开始”菜单中的microsoft word程序 (2) 双击资源管理器或“我的电脑”中的c:\program files\microsoft office\office11\winword.exe程序 (3) 双击word 文档文件(*.doc) (4) 双击桌面上的word图标 (5)开始-运行-输入“winword”②认识word2003窗口(1)标题栏位于屏幕最顶端的是标题栏,由控制菜单图标、文件名、最小化按钮、最大化(还原)按钮、关闭按钮组成。(2)菜单栏 菜单栏位于标题栏下面。使用菜单栏可以执行word的许多命令。菜单栏共有九个菜单:文件、编辑、视图、插入、格式、工具、表格、窗口、帮助。当鼠标指针移到菜单标题上时,菜单标题就会凸起,单击后弹出下拉菜单。在下拉菜单中移动鼠标指针时,被选中的菜单项就会高亮显示,再单击,就会执行该菜单所代表的命令。如“文件”—“打开”,就会弹出“打开”文件对话框。(3)工具栏 标题栏下面的是工具栏,使用它们可以很方便地进行工作。通常情况下,word会显示【常用】和【格式】两个工具栏。 “常用”工具栏:新建、打开、复制、粘贴、打印、撤消、恢复等“格式”工具栏:字体、字号、下划线、边框、对齐方式等 如果想了解工具栏上按钮的简单功能,只需将鼠标指针移到该按钮上,过一会儿旁边会出现一个小框,显示出按钮的名称或功能。 word窗口中可以有许多工具栏,可以根据需要在“视图”—“工具栏”中增加或减少工具栏。每一个工 具栏都可以用鼠标拖动到屏幕的任意位置,所以又称为浮动工具栏。工具栏内图标按钮体现了“菜单栏”中的一些主要功能。我们可以利用这些按钮进行相应操作。如我要打开一个文件,除了可以使用菜单栏外,还可以使用工具栏上的按钮。 (4)编辑窗口 再往下的空白区域就是word的编辑窗口,输入的文字就显示在这里。文档中闪烁的竖线称为光标,代表文字的当前输入位置。(5)标尺 在编辑窗口的上面和左面有一个标尺,分别为水平标尺和垂直标尺,用来查看正文的高度和宽度,以及图片、文本框、表格的宽度,还可以用来排版正文。( 6)滚动条在编辑窗口的右面和下面有滚动条,分别为垂直滚动条和水平滚动条,用来滚动文档,显示在屏幕中看不到的内容。可以单击滚动条中的按钮或者拖动滚动框来浏览文档。(7)显示方式按钮

机械设计实验报告

前言 一、实验课目的 本课程实验课目的在于:验证、巩固和加深课堂讲授的基本理论,加强理论联系实际及独立工作能力的培养;掌握一些最基本的机械实验方法、测量技能及用实验法来测定一些机械参数的能力;以及培养学生踏实细致、严肃认真的科学作风。因此,实验课是一个不可缺少的重要环节,每个学生必须认真对待,在课前进行预习,在课后分析试验结果,写成正规的实验报告。实验课为评定学生成绩的一部分。 二、实验前的准备工作 为了保证实验顺利进行,要求在实验前做好准备工作,教师在实验前要进行检查和提问,如发现有不合格者,提出批评,甚至停止实验的进行,实验准备工作包括下列几方面内容: 1.预习好实验指导书:明确实验的目的及要求;搞懂实验的原理;了解实验进行的步骤及主要事项,做到心中有底。 2.准备好实验指导书中规定自带的工具、纸张。 3.准备好实验数据记录表格。表格应记录些什么数据自拟。 三、遵守实验室的规章制度 1.验前必须了解实验设备、仪器的使用性能、操作规程及使用须知,否则不得操作。 2.严格按照规定,精心操作设备、仪器。 3.实验室内与本实验无关的设备与仪器,一律不得乱动。 4.在实验室严守纪律,不得高声谈笑,保持室内整洁。 5.实验完毕后,用过设备、仪器放回原处,并整理清洁、经教师同意后才得离开。 四、实验报告 实验报告是对实验所有数据、现象进行整理,分析得出一定结论与看法的书面文件。学生在实验后必须按照要求,整理并分析处理所的结果,写成正规的实验报告。 为了写好实验报告,提出以下几点: 1.实验结果记录应经实验指导教师过目签字,并随实验报告一起交上。 2.报告中的结果分析及讨论应力求具体,应针对试验具体情况,防止不切实际的空谈。 3.实验报告要求每人一份。 4.实验报告应在实验完毕后一星期内,由班委汇集交老师。 吉林大学珠海学院 机械工程学院 2018年9月10日

dds实验报告

南京理工大学 电子线路课程设计 --------直接数字频率合成器 学生姓名:林晓峰学号:912104220143 专业:通信工程 指导教师:谭雪琴 2014年12月18日

摘要: 本次实验利用QuartusII7.0软件并采用DDS技术、FPGA芯片和D/A转换器,设计了一个直接数字频率信号合成器,具有频率控制、相位控制、测频、显示多种波形等功能。 并利用QuartusII7.0软件对电路进行了详细的仿真,同时通过SMART SOPC实验箱和示波器对电路的实验结果进行验证。Abstract: This experient introduces using QuartusII7.0software, DDS technology,FPGA chip and D/A converter to design a multi—output waveform signal generator in which the frequency and phase are controllable and test frequency,display waveform. It also make the use of software QuartusII7.0 a detailed circuit simulation, and verify the circuit experimental results through SMART SOPC experiment box and the oscilloscope. 关键词:QuartusII7.0 数字频率信号合成器频率控制相位控制测频示波器 SMART SOPC实验箱 Keywords:QuartusII7.0 multi—output waveform signal- generator frequency controllable phase controllable test frequency oscilloscope Smart SOPC box

科技实验报告.doc

科技实验报告 一、定义与作用 实验报告,就是在某项科研活动或专业学习中,实验者把实验的目的、方法。步骤、结果等,用简洁的语言写成书面报告。 实验报告必须在科学实验的基础上进行。成功的或失败的实验结果的记载,有利于不断积累研究资料,总结研究成果,提高实验者的观察能力。分析问题和解决问题的能力,培养理论联系实际的学风和实事求是的科学态度。 二、写作要求 实验报告的种类繁多,其格式大同小异,比较固定。实验报告,一般根据实验的先后顺序来写,主要内容有: 1.实验名称名称,要用最简练的语言反映实验的内容。如验证某定律,可写成“验证×××”;如测量的实验报告,可写成 “×××的测定。” 2.实验目的实验目的要明确,要抓住重点,可以从理论和实践两个方面考虑。在理论上,验证定理定律,并使实验者获得深刻和系统的理解,在实践上,掌握使用仪器或器材的技能技巧。 3.实验用的仪器和材料如玻璃器皿。金属用具、溶液、颜料、粉剂、燃料等。 4.实验的步骤和方法这是实验报告极其重要的内容。这部分要写明依据何种原理。定律或操作方法进行实验,要写明经过哪儿个

步骤。还应该画出实验装置的结构示意图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要。清楚明白。 5.数据记录和计算指从实验中测到的数据以及计算结果。 6.结果即根据实验过程中所见到的现象和测得的数据,作出结论。 7.备注或说明可写上实验成功或失败的原因,实验后的心得体会、建议等。 有的实验报告采用事先设计好的表格,使用时只要逐项填写即可。 三、撰写时应注意事项 写实验报告是一件非常严肃。认真的工作,要讲究科学性、准确性。求实性。在撰写过程中,常见错误有以下几种情况:1.观察不细致,没有及时、准确、如实记录。 在实验时,由于观察不细致,不认真,没有及时记录,结果不能准确地写出所发生的各种现象,不能恰如其分。实事求是地分析各种现象发生的原因。故在记录中,一定要看到什么,就记录什么,不能弄虚作假。为了印证一些实验现象而修改数据,假造实验现象等做法,都是不允许的。 2.说明不准确,或层次不清晰。 比如,在化学实验中,出现了沉淀物,但没有准确他说明是“晶体沉淀”,还是“无定形沉淀”。说明步骤,有的说明没有按照操作顺序分条列出,结果出现层次不清晰。凌乱等问题。

典型机构认知实验报告

竭诚为您提供优质文档/双击可除典型机构认知实验报告 篇一:实验一典型机构认识与分析实验 实验九凸轮机构运动分析实验 一、实验目的: 1、熟悉掌握理论与实践相结合的学习方式; 2、培养动手能力和创新意识,培养对现代虚拟设计和现代测试手段的灵活运用能力; 3、通过实测和软件仿真了解不同运动规律的盘形凸轮的运动,了解圆柱凸轮的运动; 4、掌握凸轮廓线的测试方法; 5、通过实测曲线和仿真曲线的对比,分析两者之间差异的原因。 二、JTJs-Ⅲ实验台简介: 1、结构组成 1-安装底座2-凸轮支座3-同步带轮4-同步带5-电机支座6-步进电机 7-齿轮齿条支座8-尖顶从动件9-导轨10-被测凸轮

(盘形)11-圆柱凸轮 12-轴承座13-齿条14-小齿轮15-齿轮支架16-角位移传感器 图1JTJs-Ⅲ实验台结构组成 2、主要技术参数 1)凸轮原始参数: 盘形凸轮机构 1#凸轮:等速运动规律 凸轮基圆半径ro=40mm;尖顶从动件; 推杆升程h=15mm; 推程转角Ф=150o、远休止角Фs=60o、回程转角Ф,=1620; 凸轮质量m1=0.765㎏。 2#凸轮:等加速等减速运动规律 凸轮基圆半径ro=40mm;尖顶从动件。 推杆升程h=15mm; 推程转角Ф=180o、回程转角Ф,=180o; 凸轮质量m1=0.765㎏。 3#凸轮:3-4-5多项式运动规律 凸轮基圆半径ro=40mm;从动件滚子半径rt=7.5mm; 推杆升程h=15mm; 推程转角Ф=180o、回程转角Ф,=180o;

凸轮质量m1=0.852㎏。 4#凸轮:余弦加速度运动规律 凸轮基圆半径ro=40mm;尖顶从动件; 推杆升程h=15mm; 推程转角Ф=180o、回程转角Ф,=180o;凸轮质量m1=0.768㎏。 5#凸轮:正弦加速度运动规律 凸轮基圆半径ro=40mm;尖顶从动件; 推杆升程h=15mm; 推程转角Ф=150o、远休止角Фs=30o、 回程转角Ф,=150o、近休止角Ф,s=30o;凸轮质量m1=0.768㎏。 6#凸轮:改进等速运动规律 凸轮基圆半径ro=40mm;尖顶从动件; 推杆升程h=15mm; 推程转角Ф=150o、远休止角Фs=30o、 回程转角Ф,=150o、近休止角Ф,s=30o;凸轮质量m1=0.768㎏。 7#凸轮:改进正弦运动规律 凸轮基圆半径ro=40mm;尖顶从动件; 推杆升程h=15mm; 推程转角Ф=150o、

DDS报告

南京理工大学 电子线路设计 ——直接数字频率合成器(DDS)设计 实验报告 作者: 沈爱松学号:912104220234 学院(系):电子工程与光电技术学院 专业: 电子信息工程 实验日期: 11月21—11月28日 2014 年 11 月

摘要 本篇报告主要介绍了用EDA设计完成直接数字频率合成器DDS的过程。该直接数字频率合成器输出的频率及相位均可控制,且能输出正弦、余弦、三角波、锯齿波、方波五种波形,经过转换之后还能在示波器上显示,在控制电路的作用下能实现保持、清零功能,另外还能同时显示输出频率、相位控制字、频率控制字。设计中充分考虑了正余弦函数的特点进行了优化设计,提高了计算精度。并且加入了频率计功能。本设计利用QuartusII 软件进行DDS的设计,最后下载到SmartSOPC实验系统中进行硬件测试。 关键词 QuartusⅡ DDS ROM 测频 AM调制 Abstract This report introduces the EDA design is completed with Direct Digital Synthesis DDS process. The direct digital frequency synthesis of the output frequency and phase can control, and can output sine, cosine, triangle wave, sawtooth, square waveform five, after conversion after also displayed on the oscilloscope, in the role of the control circuit can be Implementation maintained cleared function, and also shows the output frequency, phase control characters, frequency control word.A brand-new algorithm was brought forward considering the symmetry characteristic of sine function, and then applied to optimizing the system which result in the improvement on calculation precision.Already designed according to the audio, will produce A spurious signal and carrier signal AM modulation This design uses DDS QuartusII software design, the final download SmartSOPC experimental system hardware testing. Keywords QuartusⅡ DDS ROM frequencu measuring AM modulation 目录

详细设计方案_DDS

DDS详细设计方案 1.DDS简介: DDS同DSP(数字信号处理)一样,是一项关键的数字化技术。DDS 是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。 一块DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分(如Q2220)。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加器根据频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。 2.DDS规格: Xxx 3.实现原理 DDS的核心部分是相位累加器,相位累加器有一个累加器和相位寄存器组成,它的作用是再基准时钟源的作用下进行线性累加,当产生溢出时便完成一个周期,即DDS的一个频率周期。其中频率字的位宽为K位,作为累加器的一个输入,累加器的另一个输入端位宽为N位(N>K),每来一个时钟,频率字与累加器的另一个输入相加的结果存入相位寄存器,再反馈给累加器,这相当于每来一个时钟,相位寄存器的输出就累加一次,累加的时间间隔为频率字的时间,输入加法器的位宽为(N-K)位,它与同样宽度的相位控制字相加形成新的相位,并以此作为查找表的地址。每当累加器的值溢出一次,输入加法器的值就加一,相应的,作为查找表的地址就加一,而查找表的地址中保存波形的幅度值,这些离散的幅度值经DAC和PLF便课还原为模拟波形。

DDS信号发生器 实验报告

H a r b i n I n s t i t u t e o f T e c h n o l o g y EDA技术高级应用 实验报告 姓名:禾小鬼 同组人: 学号:16S 班级:信息2班 指导教师:xxx 院系:电信学院

实验一函数信号发生器 一、实验内容 实验内容包括下面两个方面 1.熟悉quartus ii开发环境 第一次接触quartus ii开发环境,首先可以通过新建一个工程熟悉quartus ii的各种基本操作。需要学习的包括以下几个方面:选器件,采用原理图方法画一个电路图实现某种功能,并对这个功能进行行为仿真以验证功能上的正确性。 2.设计一个函数信号发生器 在开始之前,首先要明确设计目的,我们的想要用电路图方法实现设计一个“函数信号发生器”。然后,可以先根据自己的思路想好一个电路图的设计方案,再开始实验。 二实验结果 1.第一步:建立一个新的工程 新建工程的过程中,最重要的是设置器件,不同的器件的设计之间并不兼容。会有一个综合的信息框,注明了我所做的设置,看看没问题就可以了。然后新建一个原理图文件schematic,作为顶层文件,将顶层文件命名为DDS在上面进行画图。 2.第二步:画电路图 本次实验采用软件自带的器件库MegaWizard Plug-in Manager中的器件。自定义3个ROM,并将ROM表中存储事先准备好的三种波形的数据文件,波形数据文件由matlab产生,ROM中存储8bit-32words的数据,包括一个时钟输入,一个5位地址输入和一个7位输出;还需要一个5位计数器,用以输出读取ROM 的地址;一个时钟控制整个电路工作; 我画的电路图,如图1所示。其原理为:三个ROM表存储三种波形数据,整个电路通过时钟控制,时钟每翻转一次,计数器加一,产生一个地址,输入到

实验报告模板

实验报告 (2013 / 2014 学年第二学期) 课程名称Java语言程序设计 实验名称综合图形界面程序设计 实验时间2014年5月5日 指导单位计算机学院软件教学中心 指导教师薛景 学生姓名臧玉付班级学号12001037 计算机科学与技术学院(系)计算机学院专业 (计算机通信)

2、编写一个简单的计算器软件,实现简单的四则运算。(请在下方空白处填写本程序的全部 ..程序代码及软件界面截图) import java.awt.BorderLayout; import java.awt.GridLayout; import java.awt.event.ActionEvent; import java.awt.event.ActionListener; import javax.swing.JButton; import javax.swing.JFrame; import javax.swing.JPanel; import javax.swing.JTextArea; import javax.swing.JTextField; public class test extends JFrame { private final int BUTTON_WIDTH=50; private final int BUTTON_HEIGHT=40; JButton one=new JButton("1"); JButton two=new JButton("2"); JButton three=new JButton("3"); JButton four=new JButton("4"); JButton five=new JButton("5"); JButton six=new JButton("6"); JButton seven=new JButton("7"); JButton eight=new JButton("8"); JButton nine=new JButton("9"); JButton zero=new JButton("0"); JButton DOT=new JButton("."); JButton ADD=new JButton("+"); JButton SUB=new JButton("-"); JButton MUL=new JButton("*"); JButton DIV=new JButton("/"); JButton EQU=new JButton("=");

机构创新设计实验报告

机构创新设计实验报告 实验课程名称:机械原理 学院:机电学院 专业班级:10机械设计制造及其自动化 学号:101401010124 学生姓名:舒展 2012年6月28日机构创新设计实验报告

一、实验目的 1.通过实际机构的应用设计和搭接加深对不同机构运动特性的 理解; 2.通过对典型机构的组装,掌握活动连接、固定连接的结构和特 点;了解实际机构与机构简图的不同处,避免设计时出现运动 的干涉。 3.通过现场操作,培养实际动手和现场应变能力。 4.通过实验的多方案设计培养发散思维和创新设计能力。 二、实验设备 ZSB-C机构创新设计方案试验台。 三、实验原理 此机构由电动机输出动能,再由皮带动齿轮转动,然后由一个小齿轮和一个大齿轮组合,降低输出速度。 构造一个曲柄摇杆机构,实现将电机转动转变化摇杆传动的功能。 利用曲柄摇杆机构特性设计一个能实现刚体给定位置的机构。 最终实现机构运用原理设计要求。 四、备选方案分析和最终选型方案。 设计好曲柄摇杆机构,可选择设计起重机构、铸造造型机沙箱翻转机构、读数机构、轨迹生成机构及缝纫机踏板机构等。 最终先择实现起重功能的起重机构。 最终选择此方案有2个原因。 五、最终选型方案的分析及选择该方案的理由

(一)实验室设备条件及设备精度限制,不能设计出比较精准的机构,此机构相比读数机构、轨迹生成机构等设计难度低,精度要求低。(二)此机构功能容易得到实现,构造比较简单,利用实验室现有机构实验设备、实验构件,在实验室中能独立完成。 六、实际拼装的机构的机构运动简图 七、实际拼装机构的杆组拆分简图

八、此机构功能 (一)实现起重 可以将重物提升到一个平台上,如:装卸载货物、掉重、起重小轿车等 (二)拔起铸造模型 (三)运送物资 (四)升降台 九、问题及建议 1.此机构的由于组成比较简单,有一些地方的设计不够完美,还需 改善。 2.实验的设备太少了,有时候大家都在做实验的时候实验零件不够 用,这使得我们设计的机构不能完全的成功。 3.设备都生锈了,我建议多对设备进行维护。 4.我们实践动手能力还需要提高,希望学院多安排此类课题设计。 十、实验心得 通过这段时间的机械设计课程设计进一步巩固、加深和拓宽所学的知识;通过设计实践,树立了正确的设计思想,增强创新意思,熟悉掌握了机械设计的一般规律,也培养了分析和解决问题的能力;对自己进行了一个全面的机械设计基本技能的训练。 从开始的传动方案的拟定的总体设计中,让我清楚的了解了自己接下

DDS 直接数字频率合成器 实验报告(DOC)

直接数字频率合成器(DDS) 实验报告 课程名称电类综合实验 实验名称直接数字频率合成器设计 实验日期2015.6.1—2013.6.4 学生专业测试计量技术及仪器 学生学号114101002268 学生姓名陈静 实验室名称基础实验楼237 教师姓名花汉兵 成绩

摘要 直接数字频率合成器(Direct Digital Frequency Synthesizer 简称DDFS 或DDS)是一种基于全数字技术,从相位概念出发直接合成所需波形的一种频率合成技术。本篇报告主要介绍设计完成直接数字频率合成器DDS的过程。其输出频率及相位均可控制,且能输出正弦波、余弦波、方波、锯齿波等五种波形,经过转换后在示波器上显示。经控制能够实现保持、清零功能。除此之外,还能同时显示出频率控制字、相位控制字和输出频率的值。实验要求分析整个电路的工作原理,并分别说明了各子模块的设计原理,依据各模块之间的逻辑关系,将各电路整合到一块,形成一个总体电路。本实验在Quartus Ⅱ环境下进行设计,并下载到SmartSOPC实验系统中进行硬件测试。最终对实验结果进行分析并总结出在实验过程中出现的问题以及提出解决方案。 关键词:Quartus Ⅱ直接数字频率合成器波形频率相位调节 Abstract The Direct Digital Frequency Synthesizer is a technology based on fully digital technique, a frequency combination technique syntheses a required waveform from concept of phase. This report introduces the design to the completion of the process of direct digital frequency synthesizer DDS. The output frequency and phase can be controlled, and can output sine, cosine, triangle wave, square wave, sawtooth wave, which are displayed on the oscilloscope after conversation. Can be achieved by the control to maintain clear function. Further can simultaneously display the value of the frequency, the phase control word and the output frequency. The experimental design in the Quartus Ⅱenvironment, the last hardware test download to SmartSOPC experimental system. The final results will be analyzed, the matter will be put forward and the settling plan can be given at last. Key words:Quartus ⅡDirect Digital Frequency Synthesizer waveform Frequency and phase adjustment

DDS设计实验报告(DOC)

DDS设计实验报告实验名称:直接数字频率合成器 指导老师:花汉兵,姜萍 姓名:陈维兵 学号:114108000808 院系:能源与动力工程学院

目录 目录 (1) 摘要 (2) 正文 一、设计内容 (3) 二、设计原理 (3) 三、设计要求 (5) 四、设计思路以及部分电路图 (6) 五、实验感想 (16) 六、参考书目 (16)

摘要 本文介绍的是数字频率合成器(DDS)的设计以及其附加功能的拓展,附加功能有双通道显示、多波形显示、输出频率测量,另外,本文还介绍了一些在原有数字频率合成器的基础上做一些改进的想法和思路,虽然有的想法并没有实施,但是,作为一种参考也未尝不可。希望本文对读者有所帮助。 关键字:数字频率合成,附加功能,改进想法 Abstract The page introduces the design of the Direct Digital Frequency Synthesizer , which shorts for DDS , and other new more additions of it , the additions includes double-rows vision , wave-patterns vision , measuring of the output frequency , what’s more , this page introduces many more thoughts of improving the system which has been made ,even though the thoughts have not been applied , still they are good references for we and you .Wishing it helpful to you. Keywords: DDS ,addition of the system ,improving thoughts

实验报告模板.doc

实验报告模板 不知道如何写实验报告的朋友,下面请看我给大家整理收集的实验报告模板,希望对大家有帮助。 实验报告模板1 一、演示目的 气体放电存在多种形式,如电晕放电、电弧放电和火花放电等,通过此演示实验观察火花放电的发生过程及条件。 二、原理 首先让尖端电极和球型电极与平板电极的距离相等。尖端电极放电,而球型电极未放电。这是由于电荷在导体上的分布与导体的曲率半径有关。导体上曲率半径越小的地方电荷积聚越多(尖端电极处),两极之间的电场越强,空气层被击穿。反之越少(球型电极处),两极之间的电场越弱,空气层未被击穿。当尖端电极与平板电极之间的距离大于球型电极与平板电极之间的距离时,其间的电场较弱,不能击穿空气层。而此时球型电极与平板电极之间的距离最近,放电只能在此处发生。 三、装置 一个尖端电极和一个球型电极及平板电极。 四、现象演示 让尖端电极和球型电极与平板电极的距离相等。尖端电极放电,而球型电极未放电。接着让尖端电极与平板电极之间的距离大于球型电极与平板电极之间的距离,放电在球型电极与平板电极之间发生

雷电暴风雨时,最好不要在空旷平坦的田野上行走。为什么? 实验报告模板2 一、实验目的及要求: 本实例是要创建边框为1像素的表格。 二、仪器用具 1、生均一台多媒体电脑,组建内部局域网,并且接入国际互联网。 2、安装windows xp操作系统;建立iis服务器环境,支持asp。 3、安装网页三剑客(dreamweaver mx;flash mx;fireworks mx)等网页设计软件; 4、安装acdsee、photoshop等图形处理与制作软件; 5、其他一些动画与图形处理或制作软件。 三、实验原理 创建边框为1像素的表格。 四、实验方法与步骤 1) 在文档中,单击表格""按钮,在对话框中将"单元格间距"设置为"1"。 2) 选中插入的表格,将"背景颜色"设置为"黑色"(#0000000)。 3) 在表格中选中所有的单元格,在"属性"面版中将"背景颜色"设置为"白色"(#ffffff)。 4) 设置完毕,保存页面,按下"f"键预览。 五、实验结果

轴系结构设计实验报告-new1

轴系结构设计实验报告 实验者:同组者: 班级:日期: 一、实验目的 1、熟悉并掌握轴系结构设计中有关轴的结构设计,滚动轴承组合设计的基本方法; 2、熟悉并掌握轴、轴上零件的结构形状及功用、工艺要求和装配关系; 3、熟悉并掌握轴及轴上零件的定位与固定方法; 4、了解轴承的类型、布置、安装及调整方法以及润滑和密封方式。 二、实验设备 1、组合式轴系结构设计分析试验箱。 试验箱提供能进行减速器援助齿轮轴系,小圆锥齿轮轴系及蜗杆轴系结构设计实验的全套零件。 2、测量及绘图工具 300mm钢板尺、游标卡尺、内外卡钳、铅笔、三角板等。 三、实验步骤 1、明确实验内容,理解设计要求; 已知条件(包括传动零件类型、载荷条件、速度条件): 绘制传动零件支撑原理简图: 2、复习有关轴的结构设计与轴承组合设计的内容与方法(参看教材有关章 节); 3、构思轴系结构方案 (1)根据齿轮类型选择滚动轴承型号; 轴承类别选择依据 (2)确定支承轴向固定方式(两端固定或一端固定、一端游动); 轴承轴向固定方式选择依据 (3)根据齿轮圆周速度(高、中、低)确定轴承润滑方式(脂润滑、油润滑); 润滑方式选择依据 (4)选择端盖形式(凸缘式、嵌入式)并考虑透盖处密封方式(毡圈、皮碗、油沟); 密封方式选择依据 (5)考虑轴上零件的定位与固定,轴承间隙调整等问题; 如何定位 选择依据

(6)绘制轴系结构方案示意图。 4、组装轴系部件 根据轴系结构方案,从实验箱中选取合适零件并组装成轴系部件、检查 所设计组装的轴系结构是否正确。 6、将所有零件放入试验箱内的规定位置,交还所借工具。 7、根据结构草图及测量数据,在图纸上绘制轴系结构装配图,要求装配关 系表达正确,注明必要尺寸(如支承跨距、齿轮直径与宽度、主要配合 尺寸),填写标题栏和明细表。 8、写出实验报告。 四、实验结果分析 1、轴上各键槽是否在同一条母线上。 2、轴上各零件(如齿轮、轴承)能否装到指定位置。 3、轴上零件的轴向、周向固定是否可靠。 4、轴承能否拆下。

相关文档
最新文档