基于单片机的自动售货机_毕业设计论文

基于单片机的自动售货机_毕业设计论文
基于单片机的自动售货机_毕业设计论文

基于单片机的自动售货机

摘要

自动售货机是自动化技术在人们生活中的重要应用。随着人们生活质量的不断提高,对自动售货机的性能要求越来越高。自动售货机的主要功能是通过人机对话的形式完成自动售货这一过程。

本文设计了一款以INTEL公司出品的80C51单片机为核心的自动售货机,并且着重详细地介绍了自动售货机的整体系统设计方案、硬件选择基础、软件使用方法及技巧。以80C51作为CPU处理单元连接各个功能模块;以4*4矩阵键盘作为输入控制模块对货物进行种类和数量的选择以及模拟货币的投入功能;以LCD1602液晶作为显示模块来显示当前的购物状态以及货币状态;以LED的显示来表示当前选择货物以及出货的状态。通过Protues7.5单片机电路原理图进行连接布线连接各个模块;再用Keil uVision3专业编译软件完成源程序编译和调试,最终进行自动售货机的实验电路仿真来模拟自动售货。结合工作原理、系统设计、软件编译和实验仿真来实现自动售货这一相关功能。

关键词自动售货机,80C51单片机,编译仿真

ABSTRACT

The automatically vending machine is provided the the the important applications of the automation technology in people's lives. With the continuous improvement of people's quality of life, the increasingly high performance requirements of vending machines. Vending machines The main function of the form of man-machine dialogue vending this process.

The designed a produced by Intel 80C51 microcontroller as the core vending machines, and emphasizes the detailed description of the overall system design of the vending machines, hardware options, software and the use of methods and techniques. As 80C51 CPU processing unit connected to the various functional modules; 4 * 4 matrix keyboard as an input control module of goods, the choice of the type and number of input and analog currency; LCD1602 LCD as the display module to display the current state of shopping as well as currency state; LED display indicates the current selection of goods and shipment status. By the Protues7.5 microcontroller circuit diagram connecting wiring each module; complete source code to compile and debug then the Keil uVision3 professional compiler software, eventually vending machine experiment circuit simulation to simulate the automatic vending. Combined with the working principle, system design, software compiler and experimental simulation to achieve automatic vending related functions.

Keywords automatic vending machine, 80C51 MCU, compiled simulation

目录

摘要 ................................................................................................................................. I ABSTRACT .......................................................................................................................... I I

1 绪论 (1)

1.1 课题的研究背景及意义 (1)

1.2 国内外现状及发展趋势 (1)

1.3 本课题研究的主要内容 (2)

2 自动售货机的系统结构 (4)

2.1 自动售货机的系统概述 (4)

2.1.1 自动售货机的系统结构 (4)

2.1.2 自动售货机的控制子系统概述 (4)

2.2 自动售货机的功能简介 (5)

2.2.1 自动售货机的功能概述 (5)

2.2.2 自动售货机的设计思路 (6)

3 自动售货机的硬件设计 (8)

3.1 80C51的简介 (8)

3.1.1 80C51的基本概述 (8)

3.1.2 80C51的引脚功能介绍 (8)

3.2 LCD1602字符型液晶简介 (10)

3.2.1 LCD1602的概述 (10)

3.2.2 LCD1602的硬件结构 (10)

3.2.3 LCD1602的指令说明 (11)

3.2.4 LCD1602的基本时序操作 (13)

3.3 4*4矩阵键盘简介 (15)

3.3.1 4*4矩阵键盘的概述 (15)

3.3.2 4×4矩阵键盘的硬件结构 (15)

3.4 货币识别系统 (16)

3.4.1 对硬币的识别 (16)

3.4.2 对纸币的识别 (17)

3.5 货物选择系统 (18)

3.6 出货及找零系统 (19)

4 系统的软件流程图设计 (21)

4.1 自动售货机货物选择流程图 (21)

4.2 自动售货机投币系统流程图 (21)

4.3 自动售货机出货找零系统流程图 (22)

5 系统软件仿真 (24)

5.1 PROTEUS的简介 (24)

5.2 仿真结果 (25)

6 结论 (28)

参考文献 (29)

附录A:C源程序 (30)

附录B:系统总图 (42)

致谢 (1)

1 绪论

1.1 课题的研究背景及意义

如今,在全世界范围内自动售货机方式多样灵活,例如实行联机方式,通过电话网络线路将自动售货机内的库存信息及时地传送各营业点的电脑中,目的就是为了保证自动售货机中的商品推送、商品选的定补充能够更加精确地进行。此外,自动售货机的开发从能源的节省的角度出发,生产制造了更加合理化的节能型饮料自动售货机。例如在夏季电力消费高峰期,这种机型的自动售货机能自动保持低温而不是靠打开冷却器来维持,与以往的老式自动售货机相比更加凸显了它的特点,它能够在达到相同的效果下节约更多的电力。这些充分说明了该行业为了自动售货机走向信息化并进一步实现合理化所作出的不懈努力。

进入21世纪后,自动售货机也将进一步向节省资源和能源以及高功能化的方向发展。面对当前快速商品社会的环境,人们的时间观念越来越重,如何才能更快地购买到顾客自己所需的商品,这都是顾客和商家共同面临的问题。随着科技的发展及人们生活水平的显著提高,自动售货机市场的发展越来越呈现出多元化及个性化的需求。通过自动售货机进行自助购物正逐渐成为市民的一种新的消费时尚。同时,这种快捷方便的购物方式也满足了人们在当今科技高速发展的现代社会追求高品质生活的需要。自动售货机在人群几种的区域如城市商业区、风景区、写字楼大厅、车站、码头、繁华街道等公共场所的布设能够美化亮化城市环境,方便群众生活,已经成为城市各角落的一道亮丽风景线。自动售货机已在国内普及,并成为城市现代文明程度的一种象征性标志。自动售货机作为自动化商业机械的代表被广泛应用于公共场所,它是我国生产技术机械化、智能化和自动化的体现。从广义来讲是投入硬币、纸币、信用卡等后便可以销售商品的机械,从狭义来讲就是自动销售商品的机械。从供给的条件看,自动售货机可以充分补充人力资源的不足,适应消费环境和消费模式的变化,24小时无人售货的系统可以更省力,运营时需要的资本少、面积小,有吸引人们购买好奇心的自身性能,可以很好地解决人工费用上升的问题等各项优点。自动售货机的诞生完善了市政的建设,符合现代化建设的需要,提高了经济活动效率,节约劳动力,把有限的人力物力集中到其他资源上。

1.2 国内外现状及发展趋势

在我国国内,自动售货机在所谓的掀起了“第三次零售业革命”后已经成为

了一个跻身于超市和百货商店之上的具有非常广阔的前景的新兴行业。从形式上的更新到内容上的丰富都带来了很大突破。因为受地域影响小,自动售货机售卖的商品种类可以根据不同的地区来具体供应,包括各种饮料、零食、电子产品、计生用品等都可以作为其销售的对象。并且,从这种智能自动销售系统中,我们可以很精确的读取货物库存、商品销量、物流详情等信息。让管理、销售人员更轻松地开展具体工作。此外,与老式的人为销售相比,自动售货机可以支持多种电子支付模式,比如用信用卡支付就能更方便地进行较大金额的交易支付、结算。在20世纪初,通过手机、英特网购物的消费方式在国内开始相继出现,因为这种需求的诞生,国内的相关公司已着手研制出此种类型的自动售货机。这样的新兴自动售货机在方便顾客消费购物的同时也充当着广告传播的新型媒介。

相较于中国,国外的自动售货机行业发展比较成熟和发达。例如从自动售货机的机型上来说,在日本国内就已经达到了2000多种。而且所售的商品种类已经高达6000多种。更是在由于无所不在的自动售货机为人们提供了24小时的服务而特别受欢迎。而在比较发达的美国,光是提供自动售货机服务的运营商就多达10800家,并且在与各大饮料、食品公司的合作基础上积累了想当多的经验。在英国,为了更具针对性解决投币的麻烦,一些信用机构和某些银行还量身制定了一种自动售货机卡,在购货的时候,用刷卡代替传统的投币从而能更方便快捷地进行购物。到2002年,占全国人口的20%以上的英国人都开通了这种自动售货机卡。自动售货机在国内外的受欢迎程度已经达到一个高度。

自动售货机在城市商业区、写字楼大厅、风景区、车站、码头、繁华街道等公共场所的布设能够美化亮化城市环境,方便群众生活,已经成为城市各角落的一道亮丽风景线。自动售货机已在国内普及,并成为城市现代文明程度的一种象征性标志。随着经济发展,传统的固定地点人员售货方式暴露出许多弊端:人力资源需求大;受所需服务地点,地理条件的限制;而且在服务时间上不能最大限度的服务于消费者。相信随着社会的发展,人们对于生活高效性的重实也会逐渐提高,自动售货机也会受到更多人的关注和喜爱。现在,自动售货机产业正走向信息化并进一步实现合理化。自动售货机的出现解决了这些难题,自动售货机必然朝着操作简单化、监控管理智能化、功耗节能化和性能多样化方面发展。

1.3 本课题研究的主要内容

本课题研究的是通过核心单片机80C51控制的自动售货机。涉及到用keil Uvision3来进行C语言程序的编译调试,通过protues7.5电路连接来设计系统电路。通过80C51与输入模块、输出显示模块、找零模块、显示模块的连接来系统

模拟自动售货这一功能。设计从能根据投入的钱币自动售货的机器。用4*4矩阵键盘来模拟货物种类以及数量的选择,再通过键盘其他按键来模拟投币功能。用LCD1602作为输出显示模块,通过液晶显示来明确按键的功能以及自动售货机的购货状态等。用LED灯来表示货物的种类。因为货币识别功能是在自动售货机的外部硬件电路方面来加以具体实施的,而本设计是模拟自动售货机的功能,所以不考虑货币识别的模块设计,在这里只作原理介绍。

2 自动售货机的系统结构

2.1 自动售货机的系统概述

2.1.1 自动售货机的系统结构

自动售货机是集光、机、电一体化的自动售货装置,能够独立完成自动售货这一功能。

自动售货机的工作原理是:在初始化的界面等待顾客按键选择货物的种类和数量顾客投入货币,按下确定购买键后等待售货机自动计算所购商品的总价,然后提示顾客投入货币。所投的货币经过外部硬件检测传感器检测真假后累加计数。若顾客确认购买系统则跳转到下一步骤,反之取消购买即退出货币返回到主界面,等待顾客下一步操作。自动售货机通过货币的总价与和所购商品的总价的差值来进行出货找零。总体工作原理如图2.1:

图2.1 自动售货机的总体工作原理图

2.1.2 自动售货机的控制子系统概述

自动售货机的控制子系统由以下几个部分组成,分别是预设自动售货系统、金额累加和找零系统、售完检测系统、售出累计及反馈功能系统。

①预设自动售货机系统:自动售货机出售的商品可分为若干个品种,在其

售前设定价格时必须预先寄存在控制系统内。设定的方式原理:商品的价格设置由按键和存储器组成,通过按键选择被设价商品的种类及价格,并将设定的价格写入存储器中,存储器本身需具有断电保护记忆功能,此为采用软件。此外,如果在自动售货机中,在出售商品的种类少,价格变化小,使用币种单一的情况下,也可以用比较简单的价格设定方法----硬件设置,即采用拨码开关对应的方式设置。

②金额累加和找零系统:控制系统的核心CPU对识币、退币、无货检验及购货信号进行循环检查。如果顾客投入货币,识币器检测到货币信号后,通过通信系统把识别的货币数值信号送入累计存储器器中进行累加计数。要是货币数值满足购货需求则通过串行接口提示购货,售货机则通过并行扩展接口驱动电磁阀或者微电机驱动送出货物,同时存储器计数清零跳入到找零系统,自动售货完成。如果货币数值不满足购货需求则提示顾客继续投币或者取消购货,取消购货后由售货机退出投入的货币返回初始化界面。

③货物检测系统:为了货物供应充足,把接触开关和行程开关安装在自动售货机货物存储存道的下方,当存储存道尚有货物时,行程开关保持闭合,CPU控制自动售货机正常售货。货物供应不足的时候,行程开关自动断开,向CPU发出缺货信号,经过检测后发送到外部电机或者电磁阀来推动外部货物进入存储道达到自动添加货物的目的,以保持货源充足。

④售出累计及反馈功能系统:自动售货机的CPU在每次售出一种货物后,由通信系统向出货存储器中累计已经售出的数据,通过自动售货机内部控制的按键可以显示出累计数据以掌握销售情况。此外,由外部按键操作在按下自测功能键后,出现自测功能信号,可以通过检测结果查看各个模块的功能情况,也可以检测自动售货机的货物存储道驱动功能。

2.2 自动售货机的功能简介

2.2.1 自动售货机的功能概述

基本原理:通过矩阵键盘来选择货物的种类与数量过后自动售货机提示投币。自动售货机的货币识别器对所投货币进行识别,根据金额大小然后将商品选择权通过LCD液晶显示给客户,客户按键选择后,CPU控制芯片发出指令将所选择商品从储备料道中送达取物口。

功能描述:货物种类一共设有8种,这8种商品通过选择按键进行选择确认,通过数量选择按键确定购买数量,价格规定为1-8元不等;货币识别器能够识别1元硬币、1元纸币、5元纸币、10元纸币、20元纸币50元纸币以及100元纸币。在规定的时间内,投了几次货币后,货币能够实现自动累加功能,这样设计会把投入的

所有货币总额数目数据传递到中央控制元器件进行处理;在超过规定时间后投币口自动关闭,数据处理单元按照投币总数和购买的数量和价格乘积来进行加减运算累,实现购买和找币功能。当投入的货币总值小于商品总金额时,则不能进行购货,投入的钱将全部退还。

本设计中有一共有16个按键选择,其中有两个是货物选择键,有两个是数量选择键,此外还有6个货币投入键1个确认键和1个取消键。

2.2.2 自动售货机的设计思路

①本设计以这样的工作流程开始自动售货机的自动售货过程:

1)启动系统,开始待机;

2)顾客通过按键选择商品的种类以及数量并确认;

3)售货机检查是否有足够的货物并通过LCD提示等待顾客投币;

4)顾客投入货币,售货机自动检测金额是否足够;

5)金额足够多,售货机将推出顾客选择的相应数量的商品,若金额不足则直接退还货币;

6)推出商品,售货机转入找零系统退出余币;

7)系统自动复位,完成售货;

②系统采用硬件设计思路如图2.3:

1)采用单片机80C51作CPU;

2)采用P3.5口作为投币的输入端;

3)采用LCD1602液晶为显示模块:

4)采用4*4矩阵键盘连接P1口作为货物选择选择端;

5)采用P0口实现出货、找零功能;

图2.3 自动售货机系统原理图

③硬件端口的选择思路:

1)输入:由于本设计模拟输入功能是由4*4矩阵键盘来完成的,而键盘连接在80C51的P1口上,则货币投入设定为:

P1口的按键值为0X04的时候代表1元货币的投入;

P1口的按键值为0X05的时候代表5元货币的投入;

P1口的按键值为0X06的时候代表10元货币的投入;

P1口的按键值为0X07的时候代表20元货币的投入;

P1口的按键值为0X08的时候代表50元货币的投入;

P1口的按键值为0X09的时候代表100元货币的投入;

P1口的按键值为0X0f的时候代表购物确定“OK”按钮;

P1口的按键值为0X0e的时候代表购物取消“NO”按钮;

本设计假定自动售货机的商品种类为8种,价格为1、2、3、4、5、6、7、8元。每一个价格代表一种商品且一经售出就由外部电机自动完成补货。规定每次最多只能购买10个。货物选择:

P1口的按键值为OX00的时候代表选择货物价格“price+”;

P1口的按键值为OX01的时候代表选择货物价格“price-”;

P1口的按键值为OX02的时候代表选择货物数量“num+”;

P1口的按键值为OX03的时候代表选择货物价格“num-”;

2)输出:选择商品由P0口控制的相应LED指示灯:

选择商品1用P0.0控制D1LED灯亮;

选择商品2用P0.1控制D2LED灯亮;

选择商品3用P0.2控制D3LED灯亮;

选择商品4用P0.3控制D4LED灯亮;

选择商品5用P0.4控制D5LED灯亮;

选择商品6用P0.5控制D6LED灯亮;

选择商品7用P0.6控制D7LED灯亮;

选择商品8用P0.7控制D8LED灯亮;

3)显示:本设计的显示端口由P2端口和P3.2(RS)、P3.3(RW)、P3.4(E)控制LCD1602液晶来显示购货状态,用P0口控制LED灯表示货物种类。通过它可以显示购货的种类、数量、总价以及找零等。

3 自动售货机的硬件设计

3.1 80C51的简介

3.1.1 80C51的基本概述

由INTEL公司出品的MCS-51系列的80C51其实用性非常高。由于它是采用CHMOS的工艺技术制造,所以它是一款稳定性很高的高性能8位单片机,是HCMOS 中的最基本的产品之一。在制作工艺的程中不仅继承和扩展了先前单片机的指令系统和体系结构更是把HMOS的高速高密度的技术特点和CHMOS的低功耗特点相结合。为了满足需求,在80C51内部置入CPU、RAM(128字节)、I/O(32个双向输入输出)、定时器/计数器(16位)、串行通信口、两级中断结构以及片内时钟震荡电路。此外,它还可以通过选择空闲和掉电的方式用于低功耗模式来进行工作,空闲模式下保持串行口、中断系统、RAM和定时器正常工作而同时冻结CPU来保证其正常运行。掉电模式下,自动保存RAM数据,时钟震荡停止、芯片的其他功能停止工作。

图3.1 80C51单片机内部基本结构

3.1.2 80C51的引脚功能介绍

图3.2 80C51的引脚图

80C51单片机的40个引脚大致可分为4类:电源、时钟、控制和I/O引脚。

①电源:

1)VCC - 芯片电源,接+5V;

2)VSS - 接地端。

②时钟:XTAL1、XTAL2晶体振荡电路反相输入端和输出端。

③控制线:控制线共有4根:

1)ALE/PROG:地址锁存允许/片内EPROM编程脉冲

a.ALE功能用来锁存P0口送出的低8位地址;

b.PROG功能:片内有EPROM的芯片,在EPROM编程期间,此引脚输入编程脉冲。

2)PSEN:外ROM读选通信号。

3)RST/VPD(复位/备用电源):

a.RST(Reset)功能是复位信号输入端;

b.VPD功能是在Vcc掉电情况下,接备用电源。

4)EA/Vpp(内外ROM选择/片内EPROM编程电源):

a.EA功能:内外ROM选择端;

b.Vpp功能:片内有EPROM的芯片,在EPROM编程期间,施加编程电源Vpp。

④ I/O线:80C51共有4个8位并行I/O端口即P0、P1、P2、P3口,共32

个引脚;P3口还具有第二功能——用于特殊信号输入输出和控制信号(属控制总线)P0口输入时需要接上拉电阻才能置1。

在每次使用单片机之前,我们都要使单片机复位,让CPU以及其他功能部件都处于一个确定的初始状态,以消除上一次用户的操作对本次用户操作的影响。51的RST引脚是复位信号的输入端。复位信号是高电平有效,持续时间要有24个时钟周期以上。例如:若MCS-51单片机的时钟频率为12MHz,则复位脉冲宽度至少应为2us。通常,80C51的复位有自动上电复位和人工按纽复位两种自动上电复位电路的工作原理是:电容在通电的时候相当于短路情况,导致RST引脚上的电位为高电平,这样电容会因为电阻被充电,然后RST端逐渐降低电压直到变为低电平,从而使单片机开始正常工作。由于自动售货机的功能需求,本次设计采用自动上电复位电路。

3.2 LCD1602字符型液晶简介

3.2.1 LCD1602的概述

LCD1602液晶也叫1602字符型液晶,它是一种专门用来显示字母、数字、符号等的点阵型液晶模块。它由若干个5X7或者5X11等点阵字符位组成,每个点阵字符位都可以显示一个字符,每位之间有一个点距的间隔,每行之间也有间隔,起到了字符间距和行间距的作用,正因为如此所以它不能很好地显示图形(用自定义CGRAM,显示效果也不好)。1602LCD是指显示的内容为16X2,即可以显示两行,每行16个字符液晶模块(显示字符和数字)。市面上字符液晶大多数是基于HD44780液晶芯片的,控制原理是完全相同的,因此基于HD44780写的控制程序可以很方便地应用于市面上大部分的字符型液晶。液晶显示器以其微功耗、体积小、显示内容丰富、超薄轻巧的诸多优点,在各类仪表和低功耗系统中得到广泛的应用。根据显示内容可以分为字符型液晶,图形液晶。根据显示容量又可以分为单行16字,2行16字,两行20字等等。

3.2.2 LCD1602的硬件结构

图3.3 LCD1602的基本结构图

引脚说明:LCD1602一般是16个引脚

第1脚:VSS为接地电源。

第2脚:VDD接5V正电源。

第3脚:VEE为液晶显示器对比度调整端,通过连接电源正负来调节对比度的强弱。当连接电源正端时对比度最弱,反之连接负极则最高。对比度太高时会产生所谓的“鬼影”,在连接时可以通过接一个电位器来调整对比度。

第4脚:RS为数据命令选择端,电平为H时选择数据寄存器、电平为L时选择指令寄存器。

第5脚:RW为读写选择端,电平为H时进行读操作,电平为L时进行写操作。

第6脚:E端为使能端,当E端由高电平跳变成低电平时,液晶模块执行命令。

第7~14脚:D0~D7为8位双向数据线。

第15~16脚:空脚或背灯电源,15脚背光正极,16脚背光负极。

3.2.3 LCD1602的指令说明

①LCD1602的初始化设置:

1)显示模式设置如表3.1所示:

表3.1 显示模式设置

2)显示开/关及光标位置如表3.2所示:

表3.2 显示开/关及光标位置

②数据控制:控制器内部设有一个数据地址指针,可以根据它来访问内部的全部字节。

1)数据指针设置如表3.3所示:

表3.3 数据指针设置

2)读数据:输入时 RW 、RS、 E均等于H,输出D0-D7=数据

3)写数据:输入时RW=L、RS=H、D0-D7=数据、E=高脉冲,输出无;

4)其他设置如表3.4所示:

表3.4 其他设置

③LCD1602液晶模块的读写指令:

1)指令1:清除显示,指令码为01H,清楚显示数据;

2)指令2:光标返回到地址00H即光标复位;

3)指令3:光标和显示位置设置,光标按方向移动,高/低电平右/左移,S:屏幕上所有文字是否左移或右移,高电平移动,低电平不移动;

4)指令4:显示开关控制D:控制整体的显示开与关,高电平表示开显示,低电平表示关显示。C:控制光标的开与关,高电平表示有光标,低电平表示无光标 B:控制光标是否闪烁,高电平闪烁,低电平不闪烁;

5)指令5:光标或显示移位 S/C :高电平时显示移动的文字,低电平时移动光标;

6)指令6:功能设置命令 DL:高电平时为4位总线,低电平时为8位总线 N:低电平时为单行显示,高电平时为双行显示,F:低电平时显示5X7的点阵字符,高电平时显示5X10的显示字符;

7)指令7:字符发生器RAM地址设置;

8)指令8:DDRAM地址设置;

9)指令9:读忙信号和光标地址BF:忙标志位,高电平表示忙,此时模块不能接收命令或数据,如果为低电平表示不忙;

3.2.4 LCD1602的基本时序操作

LCD1602的基本时序操作以及对应的状态和输入输出如表3.5所示:

表3.5 基本时序操作及对应状态的输入输出

LCD1602的读写时序操作如图3.4、3.5所示:

图 3.4读时序操作图

图 3.5写时序操作图

3.3 4*4矩阵键盘简介

3.3.1 4*4矩阵键盘的概述

4*4矩阵键盘又是4*4行列键盘,它是分别用4条I/O线作为行线和列线组成的键盘。每个键的位置设置在每条行线和列线在交叉处上,可以知道4*4矩阵键盘共有4*4=16个按键。这样的设置可以有效地提高单片机I/O口的利用率,与独立式按键相比大大降低了接口占用率。当设计过程中需要按键个数要求比较大时,为了释放出I/O端口来供其他连接需要时往往采用这种矩阵式按键来解决问题。在矩阵键盘中,行列线均通过一个按键加以连接取代了直接交叉相连。这样,在很大的程度上增加了按键数,而直接将端口线连接在键盘上则会减少一倍的按键数量。在需要很多按键的设计中采用矩阵键盘明显地比独立式按键更合理,而且行列线越多效果越明显。

3.3.2 4×4矩阵键盘的硬件结构

图3.6 4*4矩阵键盘的基本结构图

在应用矩阵键盘的设计中要编译程序的时候必定会计算出每个按键的接口地址是多少以方便编译,在矩阵键盘的按键确定上我们可以采用两种方法:

①行扫描法:

行扫描法是我们常用的一种识别按键的方法,这种方法需要我们逐行逐列进行扫描查询,分为两个步骤:

1)将连接的全部行线置为低电平,然后检测所有列线的电位状态。只要某一列列线电位为低电平,就表示矩阵键盘中这列有键被按下,并且在被按下的闭合的按键在低电平列线与全部根行线相交叉的4个按键之中。反之,若所有列线电位均为高电平,则表示矩阵键盘中没有按键被按下;

2)通过前一可知按键处在判断的4个按键之中,在确认了有按键被按下后,就可进入到确定具体被闭合键的操作。然后再依次将所有行线电位置为低电平,即只有一根行线为低电平,其余行线则为高电平。在确定了某根行线电位为低电平后,再逐行检测所有列线的电平状态。若某根列线的电位为低电平,则被按下的按键就处在这根列线和低电平行线的交叉处;

②高低电平翻转法:

这种确定矩阵键盘按键的方法也是非常有效的方法,也分为两个步骤:

1)先让所连接的端口高四位为高(1),低四位为低(0),若矩阵键盘上有按键被按下,则高四位中会有一个电平从1被翻转到0,低四位则不会改变电平状态,然后即可确定矩阵键盘上被按下的按键的所在行的具体位置;

2)让让所连接的端口高四位为低(0),低四位为高(1)。若矩阵键盘上有按键被按下,则低四位中会会有一个电平1翻被转为0,高四位则不会改变电平状态,然后即可确定矩阵键盘上被按下的按键的所在列的具体位置。综合这两个步骤就可以判断出被按下的按键具体位置;

3.4 货币识别系统

3.4.1对硬币的识别

目前在国内,对于硬币的识别可采用多种方法,比如激光扫描、应变片测重量、光电管检测大小等,然而在自动售货机中采用结构简单、成本低、测量准确及其非接触测量等优点集一身的涡流传感器检测。

电涡流检测原理:以高频的电信号通过一个线圈,在这个过程中产生变化的磁场通过硬币的表面即变化磁通通过硬币的表面,相应地硬币表面上产生电涡流,并产生反向的变化磁场,以削弱原来线圈产生出来的磁场。然后根据激励磁场线圈幅值的变化,通过变化的给定值即可测出真假硬币。

基于单片机的自动售货机_毕业设计论文

基于单片机的自动售货机 摘要 自动售货机是自动化技术在人们生活中的重要应用。随着人们生活质量的不断提高,对自动售货机的性能要求越来越高。自动售货机的主要功能是通过人机对话的形式完成自动售货这一过程。 本文设计了一款以INTEL公司出品的80C51单片机为核心的自动售货机,并且着重详细地介绍了自动售货机的整体系统设计方案、硬件选择基础、软件使用方法及技巧。以80C51作为CPU处理单元连接各个功能模块;以4*4矩阵键盘作为输入控制模块对货物进行种类和数量的选择以及模拟货币的投入功能;以LCD1602液晶作为显示模块来显示当前的购物状态以及货币状态;以LED的显示来表示当前选择货物以及出货的状态。通过Protues7.5单片机电路原理图进行连接布线连接各个模块;再用Keil uVision3专业编译软件完成源程序编译和调试,最终进行自动售货机的实验电路仿真来模拟自动售货。结合工作原理、系统设计、软件编译和实验仿真来实现自动售货这一相关功能。 关键词自动售货机,80C51单片机,编译仿真

ABSTRACT The automatically vending machine is provided the the the important applications of the automation technology in people's lives. With the continuous improvement of people's quality of life, the increasingly high performance requirements of vending machines. Vending machines The main function of the form of man-machine dialogue vending this process. The designed a produced by Intel 80C51 microcontroller as the core vending machines, and emphasizes the detailed description of the overall system design of the vending machines, hardware options, software and the use of methods and techniques. As 80C51 CPU processing unit connected to the various functional modules; 4 * 4 matrix keyboard as an input control module of goods, the choice of the type and number of input and analog currency; LCD1602 LCD as the display module to display the current state of shopping as well as currency state; LED display indicates the current selection of goods and shipment status. By the Protues7.5 microcontroller circuit diagram connecting wiring each module; complete source code to compile and debug then the Keil uVision3 professional compiler software, eventually vending machine experiment circuit simulation to simulate the automatic vending. Combined with the working principle, system design, software compiler and experimental simulation to achieve automatic vending related functions. Keywords automatic vending machine, 80C51 MCU, compiled simulation

自动售货机控制系统设计

综合课程设计报告书 自动售货机控制系统设计 题目: 学院:机械与电气工程学院 专业:电气工程及其自动化 班级:13级2班 姓名:付少官 学号: 指导教师:崔茂齐 教师职称:讲师 2016年6月29日

机械与电气工程学院综合课程设计任务书 专业:电气工程及其自动化班级:2013-2 姓名:付少官 自动售货机控制系统设计 (1) 摘要 (1)

Abstrct (1) 1、绪论 (1) 2、自动售货控制系统设计总体方案 (2) 元器件选择 (2) 开发工具 (2) 3、自动售货控制系统硬件设计 (3) 硬件总体框图 (3) AT89C51单片机模块(介绍本次设计所到的功能) (3) (3) (4) 本次所应用的管脚说明 (4) 单片机驱动模块 (5) 选择按键模块 (5) (6) (6) 系统显示模块 (6) 数码管显示器 (6) 显示器的驱动电路 (7) 出货以及退币模块 (8) 4、自动售货控制系统软件设计 (8) 系统流程图 (8) 元器件计算 (9) 5、系统总电路图及调试仿真 (10) 总电路图 (10) 系统调试 (11) 系统仿真 (11) 总结及致谢 (14) 参考文献 (15) 附录 (16) 附录1、元件清单 (16) 附录2、源程序 (16) 附录3、自动售货机控制系统protel99se原理图 (23)

自动售货机控制系统设计 付少官 摘要本文详细介绍了自动售货机系统的方案设计、硬件选择、软件规划和编写,并重点描述了自动售货机系统的工作原理、系统设计、软件编程的原则和技巧。该系统以单片机AT89C51芯片为核心,采用集中控制方式实现了对自动售货机全过程的自动控制。本系统选择独立式键盘按键作为货物选择端,实现了投币总数的显示功能。此外,系统程序采用keil软件进行程序的编写和编译,该软件具有编程简单、查错方便、阅读容易等特点。汇编语言是程序的基本语言,具有容易理解,便于记忆和使用等特点。 关键词:自动售货机,AT89C51,LCD显示 Abstrct T his paper describes a vending machine system design, hardware selection, software planning and preparation, and describes the focus of the work vending machine system theory, system design, software programming principles and techniques. AT89C51in the system as the core chip, the realization of a centralized control of the vending machine's automatic control the entire process. Choice of the system as a stand-alone keyboard keys to choose the goods side, the realization of the coin shows the total number of features. In addition, the system program using keil software to prepare and compile procedures, the software programming is simple, convenient error checking, easy to read and so on. Assembly language is the basic language program with easy to understand, easy to remember and use and so on. Key words:Vending Machines,AT89C51,LED Display 1、绪论 自动售货机是一种全新的商业零售形式,从自动售货机的发展趋势来看,它的出现是由于劳动密集型的产业构造向技术密集型社会转变的产物。大量生产、大量消费以及消费模式和销售环境的变化,要求出现新的流通渠道;而相对的超市、百货购物中心等新的流通渠道的产生,人工费用也不断上升;再加上场地的局限性以及购物的便利性等这些因素的制约,无人自动售货机作为一种必须的机器便应运而生了。 随着科技的发展及人们生活水平的提高,自动售货机市场越来越呈现出多元化及个性化的需求。人们通过自动售货机自助购物,这种简单、快捷的方式正逐渐成为市民的一种新的消费时尚,并且满足了人们在当今科技高速发展的现代社会追求高品质生活的需要。自动售货机在城市商业区、写字楼大厅、风景区、车站、码头、繁华街道等公共场所的布设能够美化城市环境,方便群众生活,它已经成为城市各角落的一道亮丽风景线。 自动售货机必将在国内普及,并成为城市现代文明程度的一种象征性标志。本文详细介绍了如何控制、要求进行自动售货机系统的方案设计、硬件选择、软件规划和编写,并重点描述了自动售货机系统的工作原理、系统设计、软件编程的原则和技巧。

基于PLC控制的自动售货机毕业设计论文

毕业论文声明 本人郑重声明: 1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。 2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。 3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。 4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。 学位论文作者(签名): 年月

关于毕业论文使用授权的声明 本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据 库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。 论文作者签名:日期: 指导教师签名:日期:

PLC的自动售货机的课程设计

湖南工学院课程设计说明书 课题名称:自动售货机的控制设计 专业名称:自动化 学生班级:自本0701 学生学号: 410070131 学生姓名:冯源 指导老师:陆秀令

课程设计任务书 自动售货机的控制设计 一、控制要求 1.自动售货机有3个投币孔,分别为1元、5元和10元。 2.售货共有3种饮料供选择,分别为汽水、花茶和咖啡。 3.如投币总额超过销售价格,将可由退币钮找回余额。 4.投币总额或当前值显示在7段数码管上。 5.投币值等于或大于12元时,汽水指示灯亮,表示只可选择汽水。 6.投币值等于或大于15元时,汽水和花茶指示灯亮,表示只可选择汽水和花茶。 7.投币值等于或大于20元时,汽水、花茶和咖啡指示灯亮,表示3种均可选择。 8.按下要饮用的饮料按钮,则相对应的指示灯开始闪烁,3s后自动停止,表示饮料已经掉出。 9.动作停止后按退币钮,可以退回余额,退回金额如果大于10元,则先退10元再退1元,如果小于10元则直接退1元的。 二、设计任务 学生根据控制要求,明确设计任务,拟定设计方案与进度计划,运用所学的理论知识,进行自动售货机运行原理设计、硬件系统设计、软件系统设计、创新设计,提高理论知识工程应用能力、系统调试能力、分析问题与解决问题的能力。主要内容包括: 1. 设计出硬件系统的结构图、接线图、时序图等; 2. 系统有启动、停止功能; 3. 运用功能指令进行PLC控制程序设计,并有主程序、子程序和中断程序; 4. 程序结构与控制功能自行创新设计; 5. 进行系统调试,实现自动售货机的控制要求。

三、设计报告 课程设计报告要做到层次清晰,论述清楚,图表正确,书写工整;详见“课程设计报告写作要求”。

自动售货机控制系统的设计

课程设计题目:自动售货机控制系统的设计 目的与任务: (1)进一步掌握MAX+PLUSⅡ软件的使用方法; (2)会使用VHDL语言设计小型数字电路系统; (3)掌握应用MAX+PLUSⅡ软件设计电路的流程; (4)掌握自动售货机的设计方法; (5)会使用GW48实验系统。 内容和要求: 设计一个简易的自动售货机,它能够完成钱数处理、找零、显示、退币等功能。 (1)用3个键表示3种钱,再用3个键表示3种物品。 (2)用2个数码管显示输入的钱数,再用2个数码管显示所找的钱数,以元为单位。 (3)买东西时,先输入钱,用数码管显示钱数,再按物品键,若输入的钱数大于物品的价格,用数码管显示所找的钱数,并用发光二极管表示购买成功。 (4)若输入的钱数少于物品的价格,用数码管显示退出的钱数,并用发光二极管表示购买失败。

设计内容(原理图以及相关说明、调试过程、结果) 一、系统设计方案 根据系统要求,系统的组成框图如图1所示。 图1 系统组成框图 系统按功能可分为分频模块、控制模块和译码输出模块。 (1)分频模块的作用是获得周期较长的时钟信号,便于操作,且不会产生按键抖动的现象。其原理是定义两个中间信号Q、DIV_CLK,Q在外部时钟CLK的控制下循环计数,每当计数到一个设定的值时DIV_CLK的值翻转,最后将DIV_CLK赋给NEW_CLK即可,改变设定值可改变分频的大小。 (a2)控制模块是这个系统的核心模块,它具有判断按键、计算输入钱数总和、计算找零、控制显示四个作用。它的工作原理是每当时钟上升沿到来时,判断哪个按键按下,

若按下的是钱数键,则将钱数保存于中间信号COIN,若下次按下的仍是钱数键,COIN 的值则加上相应的值并显示于数码管;当物品键按下时,则将COIN的值与物品价格进行比较,然后控制找零。 (3)由于钱数可能大于9,所以译码显示模块的作用就是将钱数译码后用两个数码管显示,这样方便观察。 根据各个功能模块的功能并进行整合,可得到一个完整的自动售货机系统的整体组装设计原理图,如图2所示。 图2 设计原理图 二、系统主要VHDL源程序 (1)分频器的源程序(外部时钟选用3MHz,实现3万分频) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY CLKGEN IS PORT(CLK:IN STD_LOGIC; NEWCLK:OUT STD_LOGIC); END CLKGEN;

毕业论文-----答辩稿-----PLC自动售货机

各位老师,上午好!我叫---,来自--级自动化-班,我的论文题目是无人售货机的自动控制系统,论文是在---老师的悉心指点下完成的,在这里我向我的老师表示深深的谢意(鞠躬),向各位老师不辞辛苦参加我的论文答辩表示衷心的感谢(鞠躬)。下面我将本论文设计的目的、研究意义和主要框架及各部分的主要内容向各位老师作一汇报,恳请各位老师批评指导。 首先,我想谈谈这个毕业论文设计的目的及意义。 作为PLC应用的一部分,PLC控制系统对自动售货机进行控制,具有无法比拟的优点,它极大地提高了系统的稳定性,保证了自动售货机能够长期稳定效率的运行。因此,PLC控制的售货机有着广泛的市场前景和实际的应用价值。这就是我为什么要选择该课题的原因。 其次,我想谈谈这篇论文的基本结构和主要内容。 本文分成五个部分. 第一部分是绪论。 这部分论述本设计研究的目的和意义,并介绍了自动售货机的国内外发展情况和采用PLC控制售货机的原因。 第二部分是PLC的概述。 这部分主要介绍PLC的定义、特点、产生、发展、可实现的功能和基本结构组成及各部分的作用,还介绍了PLC的工作原理及其机型和容量的选择。 第三部分是自动售货机的总体方案设计。 这部分主要介绍了本售货机研究设计的总体方案,通过对自动售货机的功能和整体结构的研究,设计出了本设计的基本思想和设计的主要内容,及自动售货机设计的一般步骤。 第四部分是PLC控制自动售货机的硬件设计。 这部分介绍自动售货机硬件设计的研究,通过分析PLC控制系统硬件设计的基本内容和基本原则设计了本售货机研究的主要硬件系统的组成和I/O模块的选择,并设计出了自动售货机PLC硬件控制电路。 第五部分是PLC控制自动售货机的软件设计。 这部分介绍售货机研究的软件设计,通过对售货机控制过程的各个子系统的研究及控制系统总体的软件设计的研究和定时器的分析,进一步完成了自动售货机的总体研究。 最后,我想谈谈这篇论文和系统存在的不足。

自动售货机的设计论文

自动售货系统 摘要:提出并实现一套基于单片机控制步进电机的自动售货系统。其主要目的是为当前的自动售货机系统提出一种可行的改进方案,扩展其功能,系统主要是由三部分组成:步进电机、51单片机、以及中间的接口电路,可以使自动售货机的功能更加完善,更加有利于自动售货机的多样化发展。 关键词:驱动电路,单片机,步进电机,OCS Abstract:Put forward and realize a set of auto selling machine system according to the single-chip microcomputer control stepper motors .Its main purpose is to put forward a kind of viable improvement project, expanding its function for current auto selling machine system .the system primarily is composed of three parts: stepper motors ,single-chip microcomputer, and connecting circuit in them which can make the function of auto selling machine more perfect, is benefit to have more diverse uses of auto selling machine. Keyword: the driving circuit, single-chip microcomputer , Office Coffee Servic e

自动贩卖机电子技术课程设计..

目录 综述 (1) 1方案比较与选定 (2) 2方案设计与分析 (2) 2.1逻辑抽象 (2) 2.2单元模块设计 (3) 2.2.1可供选择商品的指示灯模块 (3) 2.2.2商品输出模块 (5) 2.2.3找零模块 (6) 3整体电路及仿真 (8) 3.1电路原理 (8) 3.2电路仿真 (9) 3.2.1投一元买矿泉水 (9) 3.2.2投一块五买饮料 (10) 3.2.3投两元买饮料并找零 (11) 心得体会 (12) 参考文献 (13)

哈尔滨工业大学电子技术课程设计 综述 自动售货机是能根据投入的钱币自动付货的机器。一般的自动售货机由钱币装置、指示装置、贮藏售货装置等组成。钱币装置是售货机的核心,其主要功能是确认投入钱币的真伪,分选钱币的种类,计算金额。如果投入的金额达到购买物品的数值即发出售货信号,并找出余钱。指示装置用以指示顾客所选商品的品种。贮藏售货装置保存商品,接收出售指示信号,把顾客选择的商品送至付货口。一般售货机的钱币装置由投币口、选别装置、确认钱币真伪的检查装置、计算金额的计算装置和找钱装置组成。七十年代以来,出现了采用微型计算机控制的各种新型自动售货机和利用信用卡代替钱币并与计算机连接的更大规模的无人售货系统,如无人自选商场、车站的自动售票和检票系统、银行的现金自动支付机等。 本设计用JK触发器和基本逻辑门电路通过时序电路的设计方法完成了一种自动贩卖机的设计,该贩卖机能够售卖三种商品,要求系统能够自动完成:投币识别,价格比较,选择商品同时对应的指示灯亮,输出商品和找零过程。 1

自动贩卖机逻辑电路设计 1方案比较与选定 方案一:采用开关控制的计数器,通过加法器投硬币的方式将来确定投入的数值大小,再通过控制减法器的方式来减掉花费的数值,输出需要找回的数值,原理简单,设计也相对简约,思路清晰便于操作。逻辑电路图如图1-1所示。 图1-1 自动贩卖机逻辑电路图 方案二:采用JK触发器和基本逻辑门电路通过时序电路的设计方法完成了一种自动贩卖机的设计,具体思路是通过传感器产生的硬币信号,在电路中输入不同的状态,来表示所投硬币的数值,然后根据所需,通过开关电路,确认各种状态,达到目的。优点是采用简单触发器,和简单门电路就设计出方案。 综上所述,采取方案二,尽管稍微有些复杂,但是可以使我对时序逻辑电路的设计方法有更深的理解。该方案成本低,性价比高。 2方案设计与分析 2.1逻辑抽象 输入变量: 2

基于PLC的自动售货机的设计毕业设计论文

本科毕业设计(论文)题目基于PLC的自动售货机的设计

基于PLC的自动售货机的设计 摘要 随着现在城市的发展规模越来越大,在很多公共场所都放置了自动售货机,方便快捷的满足了人们的需求,为人们在对简单物品采购的时候提供了一种便利。传统的一些自动售货机大多采用的是单片机控制方式,抗干扰的能力相对较差,系统硬件设计比较复杂,系统的稳定性以及可靠性很难得到保证,维修和维护困难。 本次设计一套基于PLC的自动售货机的控制系统,简单阐述了自动售货机的发展前景与背景,详细分析其主要功能和特点,提出了总的设计方案;此次设计基于WinCC 和西门子S7-300PLC,主要是对自动售货机的硬件方面和软件方面进行仿真设计,采用了顺序控制的程序设计思路,设计了系统的控制程序,包括投币程序、购买程序和退币程序。 关键词:售货机 PLC 自动化 WinCC

The design of the vending machine based on PLC Abstract Now with the development of the city scale is more and more big, the vending machines were placed in many public places, convenient, satisfy people's demand for people at the time of purchase of simple items provides a convenient.Some of the traditional vending machine is mostly USES a single-chip computer control mode, anti-interference ability is relatively poor, the system hardware design is more complex, it is hard to guarantee the stability and reliability of the system, repair and maintenance difficulties. The design of a vending machine based on PLC control system, simple expounds the development foreground and the background of the vending machine, detailed analysis of its main functions and characteristics, puts forward the total design scheme;This design based on WinCC and Siemens S7-300 PLC, mainly to the vending machine hardware and software aspects of simulation design, used the sequence control program design thinking, design the system control program, including the coin program, purchasing procedures and refund money. Key words:V ending machine; PLC;automation;WinCC

自动售货机报告C语言课程设计

自动售货机图形模拟系统 需求分析 课题名称:自动售货机图形模拟系统 小组成员:朱伟鑫U201314490 班级:测控1301班 指导老师:周纯杰、何顶新、彭刚、周凯波 上交日期:贰零壹肆年玖月贰拾壹日

目录 1.引言--现实中的自动售货机 (2) 2.运行环境 (3) 3.功能设计 (3) 3.1触摸屏 (3) 3.2自动换零 (3) 3.3销量统计 (3) 3.4提前补货 (4) 3.5显示商品信息 (4) 3.6自动售货机网络 (4) 3.7无响应自动处理 (4) 4.软件系统需求分析 (4) 4.1图形显示 (4) 4.2菜单模块 (5) 4.3交易模块 (5) 4.4管理模块 (5) 5.系统流程图 (5) 6.时间安排 (6)

自动售货机图形模拟系统 朱伟鑫 课题要求 以自动售货机为对象,图形化模拟投币、找零、出货的基本流程;能对售货机的商品进行管理:补货、查询、统计等功能。 1引言--现实中的自动售货机 自动售货机是能根据投入的钱币自动付货的机器。自动售货机是商业自动化的常用设备,它不受时间、地点的限制,能节省人力、方便交易。是一种全新的商业零售形式,又被称为24小时营业的微型超市。能分为三种:饮料自动售货机、食品自动售货机、综合自动售货机。 从自动售货机的发展趋势来看,它的出现是由于劳动密集型的产业构造向技术密集型社会转变的产物。大量生产、大量消费以及消费模式和销售环境的变化,要求出现新的流通渠道;而相对的超市、百货购物中心等新的流通渠道的产生,人工费用也不断上升;再加上场地的局限性以及购物的便利性等这些因素的制约,无人自动售货机作为一种必须的机器便应运而生了。 从广义来讲投入硬币、纸币、信用卡等后便可以销售商品的机械,从狭义来讲就是自动销售商品的机械。从供给的条件看,自动售货机可以充分补充人力资源的不足,适应消费环境和消费模式的变化,24小时无人售货的系统可以更省力,运营时需要的资本少、面积小,有吸引人们购买好奇心的自身性能,可以很好地解决人工费用上升的问题等各项优点。 2运行环境 根据课题要求,在DOS环境下,用TC或BC开发软件编写C语言程序模拟自动售货机的工作,因此本系统将会有较高的兼容性,能够在大多数操作系统上运行,包括Windows XP系统和Windows732位系统,但Windows764位操作系统除外。

基于PLC的自动售货机毕业论文

基于PLC的自动售货机毕 业论文 目录 第1章绪论 1.1 自动售货机简介 (1) 1.2 采用PLC控制售货机 (2) 1.3 自动售货机设计的主要容 (3) 1.4 自动售货机设计的基本思路 (3) 第2章自动售货机的结构组成 2.1 自动售货机的设计要求 (4) 2.2 箱体外观 (4) 2.3 商品样品展示窗 (5) 2.4 商品贮存部分 (6) 2.5 商品驱动机构 (6) 第3章 PLC控制柜设计 3.1 PLC的简介 (7) 3.2 PLC的工作原理 (7) 3.3 PLC的硬件组成 (8) 3.4 PLC选型以及I/O点分配表 (9) 3.5 控制柜部设计 (10) 3.6 电气接线图设计 (10) 第4章自动售货机的PLC程序设计 4.1 自动售货机控制系统总体设计 (17) 4.2 复位程序设计 (18) 4.3 投币程序设计 (18)

4.4 找零程序设计 (20) 4.5 商品选购和出货程序设计 (21) 4.6 报警程序设计 (23) 第5章模拟仿真运行调试 5.1 仿真软件简介 (24) 5.2 现实情况仿真 (24) 5.3 仿真情况分析 (29) 结论 (30) 参考文献 (31) 附录 (32) 后记 (56)

第1章绪论 在实际生活中,我们见到的售货机可以销售一些简单的常用物品,如饮料、矿泉水和小的生活保健用品等。售货机的基本功能就是对投入的货币进行运算,并根据货币数值判断是否能够购买某种商品,并做出相应的反应,自动售货机是可完成无人自动售货,集光、机、电一体化的商业自动化设备。自动售货机不受任何场地限制,方便快捷,很多城市的公共场所里面都放置有自动售货机。从自动售货机的发展趋势来看,它的出现是由于劳动密集型的产业构造向技术密集型社会转变的产物。 从广义来讲自动售货机就是在投入钱币后便可以销售商品的机械,从狭义来讲就是自动销售商品的机械。从供给条件看,自动售货机可以充分补充人力资源的不足,使用消费环境和消费模式发生变化,24小时无人售货的系统可以更省力,运营时需要的资本少、面积小、有吸引人们购买的好奇心的自身性能,可以很好地解决人工费用上升的问题等各项优点。 1.1 自动售货机简介 据说世界上最早的自动售货机出现在公元1世纪,那是希腊科学家希罗为教堂发放圣水设置的投币式圣水出售机。17世纪,英国的小酒吧里设有了香烟的自动售货机。日本第一台自动售货机是1904年问世的―邮票明信片自动出售机,它是集邮票明信片的出售和邮筒投函为一体的机器。1925年美国研制出售香烟的自动售货机,50年代,―喷水型果汁自动售货机大受欢迎,果汁被注入在纸杯里出售。1967年,100日元单位以下的货币全部改为硬币,从而促进了自动售货机产业的发展。现代自动售货机的种类、结构和功能依出售的物品而异,主要有食品、饮料、香烟、邮票、车票、日用品等自动售货机。售货机由钱币装置和售货装置两部分组成。钱币装置是售货机的核心,其主要功能是确认投入钱币的真伪,分选钱币的种类,计算金额;售货装置是售货机的根本,售货装置的可靠性关系到运营商与用户的利益,售货装置接收出售指示信号,把顾客选择的商品送至付货口。贮藏装置保存商品,指示装置用以指示顾客所选商品的品种。自动售货机是一种全新的商业零售形式,是商业自动化的常用设备,20世纪70年代自日本和欧美发展起来,它不受时间、地点的限制,能节省人力、方便交易。是一种全新的商业零售形式,省时方便快捷,又被称为24小时营业的微型超市。

基于PLC自动售货机的系统设计(精)

I 基于 PLC 的自动售货机系统设计 摘要 本论文基于西门子 S7-200 系列 PLC 进行自动售货机控制系统的设计,阐述了自动售货机系统设计的基本原理及工作流程,然后以一次交易过程为例,把交易过程分为几个程序块,分别对程序块进行编程。在 PLC 梯形图的编写时,文中采用的是西门子 PLC 梯形图专用编译软件 STEP7来进行编写,并完成了 PLC 外部接线图。利用 PLC 控制的自动售货机提高了系统的稳定性,保证自动售货机能够长期稳定运行。 关键词 :PLC ;自动售货机;控制系统 1、格式存在错误,如段前段后间距、字体大小等等 2、整个文章太简单,基本上没什么内容,可以适当填充 3、总结有错 4、参考文献有错 The System Design for Automatic Vending Machine Based on PLC Tutor: Guilin liu II Abstract Professional Electrical automation 2008 No.200812713 Name :wei yuan The design of this paper is based on Siemens S7-200 series automatic vending machine PLC control system, explains the vending system design principle and workflow, and then to a course of dealing, for example, the transaction process is divided into several blocks on blocks, respectively. In the preparation of the PLC ladder diagram, the text is a Siemens PLC

软件工程课程设计(自动售货机)

《软件工程》课程设计报告

一、问题描述 (一)关于自动售货机 自动售货机像磁卡、银行柜员机一样,以方便、新颖、文明、昼夜服务等特点,成为发达国家不可缺少的便民配套设施。如今的自动售货机可以为顾客提供多种服务。顾客可以根据自己的需要选择商品并投入钱币,售货机接收钱币,售出商品。 (二)自动售货机的设计 自动售货机系统是一种无人售货系统。售货时,顾客把硬币投入机器的投币口中,机器检查硬币的大小、重量、厚度及边缘类型。有效的硬币是一元币、五角币、一角币、五分币和一分币。其它货币都被认为是假币。机器拒收假币,并将其从退币孔退出。当机器接收了有效的硬币之后,将之送入硬币储藏器。顾客支付的货币根据硬币的面值进行累加。 自动售货机装有货物分配器,每个分配器中包含0 个或多个价格相同的货物。顾客通过选择货物分配器来选择货物。如果有货,且顾客支付的货币值不小于该货物的价格,货物将被分配到货物传送孔送给顾客,并将找零返回到退币孔。如果无货,则和顾客支付的货币值相等的硬币将被送到退币孔。如果顾客支付的货币值小于所选货物的价格,机器将等待顾客投进更多的货币。如果顾客决定不买所选择的货物,他投放进的硬币将从退币孔中退出。 二、需求分析 (一)UML自动售货机系统功能需求: 自动售货机系统是一种无一元等3个硬币投币口。顾客确认购货后,售货机可根据硬币的面值进行累加。 自动售货机装有货物分配器。每个货物分配器中包含零个或多个价格相同的货物。顾客通过

选择货物分配器来选择货物。如果货物分配器中有货物,而且顾客支付的货币值不小于该货物的价格,货物将被分配到货物传送孔送给顾客,并将适当的零钱返回到退币孔。如果分配器是空的,则和顾客支付的货币值相等的硬币将被送加到退币孔。如果顾客支付的货币值少于所选择的分配器中的货物的价格,机器将等待顾客投进更多的货币。如果顾客决定不买所选择的货物,将所投硬币从退币孔退出。 (二)UML自动售货机系统控制要求: 根据售货机自动控制系统的流程可以知道自动售货机的主要系统包括:计币系统、比较系统、选择系统、饮料供应系统、退币系统和报警系统。 1.计币系统: 当有顾额色买饮料时,投入的钱币经过感应器,感应器记忆投币的个数关且传送到检测系统(即电子天平)和计币系统。只有当电子天平测量的重量少于误差值时,允许计币系统进行叠加钱币,叠加的钱币数据存放在数据寄存器中。如果不正确时,认为是假币。既定出投币,既定出系统,等待新顾客。 2.比较系统: 投入完毕后,系统会把钱币数据和可以购买饮料的价格进行区间比较,当投入的钱币小于2元时,指示灯亮,显示投入的钱币不足。此时可以再投币或选择退币。当投稿的钱币在2~3元之间时,汔水选择指示灯长亮。当大于3元时,汔水和咖啡的指示灯同时长亮。此时可以选择饮料或选择退币。 3.选择系统: 比较电路完成后选择电路指示灯是长亮的,当按下汽水或咖啡选择时,相应的选择指示灯由长亮转为以1秒为周期闪烁。当饮料供应完毕时,闪烁同时停止。

毕业论文-自动售货机

共享知识分享快乐 盛年不重来,一日难再晨。及时宜自勉,岁月不待人。 毕业设计说明书 自动售货机控制系统的设计与仿真

摘要 随着社会的进步,科学的发展,生活水平的提高,单一传统的生活方式已经不能满足现代居民对高品质生活的追求,在这种现象下,许多自动化设备应时代应潮流而生。自动售货机作为自动化设备中的最常见的设备之一,由于其众多的优点,已经在世界各地得到了普遍的发展并且还在不断地发展。自动售货机掀起了继百货商店和超市之后的又一零售狂潮,这种智能售货系统在车站、教学楼、办公楼等公共场所有着广阔的发展前景。 本文在对自动售货机的背景历史和发展趋势进行了研究介绍之后,对课题中的自动售货机系统进行了整体的设计及规划。该自动售货机控制系统是基于EDA技术来实现的,同时,利用Altera公司的QuartusⅡ14.0作为其软件开发平台,利用VHDL编程语言输入的方式完成了软件设计。本设计方案对整个控制系统进行了层次化的编写。把整个系统划分为6个子模块,分别是找零计算模块、确认取消控制模块、找零控制模块、总钱数加和模块、显示模块、锁定模块,并且对每一个子模块进行了详细的软件设计。在顶层文件中通过调用各个子模块来完成vhdl程序的设计。 最后在对每一个子模块完成了功能仿真测试验证,同时也对整个系统进行顶层设计和仿真测试,测试结果表明了整个控制系统的合理性以及正确性。整个控制系统设计简单合理,操作简单,满足售货机的基本要求,具有一定的实用意义。 关键词:自动售货机、VHDL、EDA、QuartusⅡ

Abstract Abstract: With the progress of the society, the development of the scientific, and the improvement of living standards, single traditional way of life has not meet modern residents' pursuit of high quality life, under this kind of phenomenon, a number of automation equipment should come into being. Vending machine as one of the most common equipment in the automation equipment, has been develop all over the world and develop constantly because it has many advantages .Vending machines set off after department stores and supermarkets in another retail frenzy, this intelligent vending system has broad prospects for development in the station, school buildings, office buildings and other public places. Based on the background of the vending machine, the article designs and plans the project of vending machine system after introducing the history and development trend.The vending machine control system is based on the EDA technology to realize, at the same time, it used Quarter Ⅱ 14.0 of the Altera corporation as the software development platform, taking advantage of VHDL programming language input completed the software design. The design scheme is hierarchical write for the whole hierarchical control system.The whole system is divided into six sub modules, change calculation module, confirming cancellation control module, the change control module, total amount module, display module, locking module, and each child module software design is design in detail.On the top floor file by calling each module to complete the VHDL program design. Finally, The design scheme completed the function simulation test to verify for each child modules, and conducts Top-level design and simulation test for the whole system, the test results show the rationality and validity of the whole control system. The design of the whole control system is simple and reasonable, the operation is simple, it meets the basic requirement of the vending machine and has certain practical significance. keywords :The vending machine、EDA、VHDL、QuqrtusⅡ

相关文档
最新文档