湖南工程学院-混凝土试卷九

湖南工程学院-混凝土试卷九
湖南工程学院-混凝土试卷九

湖南工程学院试卷纸至年第学期专业班级姓名学号共 3 页第 1 页

湖南工程学院PLC课程设计

课程名称电气控制与PLC课程设计 课题名称盐碱分离离心机电气控制系统设计专业测控技术 班级 学号 姓名 指导老师刘星平,赖指南,谭梅,沈细群 2016年6月17日

电气信息学院 课程设计任务书 课题名称盐碱分离控制系统设计 姓名专业测控技术班级学号 指导老师谭梅 课程设计时间2016年6月6日~2016年6月17日(第15~16周) 教研室意见同意开题。审核人:汪超林国汉 一.任务及要求 设计任务: 以PLC为核心,设计盐碱分离离心机电气控制系统,为此要求完成以下设计任务: 1.根据盐碱分离离心机的工艺过程和控制要求,确定控制方案。 2.配置电器元件,选择PLC型号。 3.绘制控制系统的PLC I/O接线图。设计PLC梯形图程序,列出指令程序清单。 4.上机调试程序。 5.编写设计说明书。 设计要求: (1)所选控制方案应合理,所设计的控制系统应能够满足控制对象的工艺要求,并且技术先进,安全可靠,操作方便。 (2)所绘制的设计图纸符合国家标准局颁布的GB4728-84《电气图用图形符号》、GB6988-87《电气制图》和GB7159-87《电气技术中的文字符号制定通则》的有关规定。 (3)所编写的设计说明书应语句通顺,用词准确,层次清楚,条理分明,重点突出。 二.进度安排 1. 第一周星期一上午:课题内容介绍 2. 第一周星期一下午:仔细阅读设计任务书,明确设计任务与要求,收集设计资料,准备设计工具。 3. 第一周星期二~第一周星期三:确定控制方案。绘制盐碱分离离心机电气控制系统的电气原理图、控制系统的PLC I/O接线图和梯形图,写出指令程序清单。选择电器元件,列出电器元件明细表。 4. 第一周星期四、五:试验调试

福建工程学院本科毕业设计(论文)撰写规范

附件7: 福建工程学院本科毕业设计(论文)撰写规范 毕业设计(论文)是培养学生综合应用所学知识,分析和解决实际问题,锻炼创造能力的重要环节。它既是学生学习、研究与实践成果的全面总结,又是对学生素质与能力的一次全面检验。为了保证我校毕业设计(论文)质量,特制定《福建工程学院毕业设计(论文)撰写规范》。 一、毕业设计(论文)资料的填写、打印与装订 毕业设计(论文)统一使用学校印制的毕业设计(论文)资料袋、毕业设计(论 规格纸打印。毕业设计(论文)按统一排序文)封面。毕业设计(论文)一律用A 4 装订后与工程图纸(按国家标准装订)、光盘、毕业设计(论文)任务书、开题报告或开题综述、毕业设计(论文)指导教师成绩评审表、评阅教师成绩评审表、答辩委员会决议书等一起放入填写好的资料袋内上交二级学院。毕业设计(论文)应由以下几部分组成,顺序如下: (一)封面 论文题目(标题); 作者(××学院××专业××届×××); 指导教师(指导教师的姓名、职称) (二)诚信承诺保证书 (三)毕业设计(论文)目录; (四)中文题目、中文摘要、关键词 (五)英文题目、摘要、关键词(专科生暂不要求); (六)论文正文; (七)致谢语; (八)参考文献;

(九)附录(可选); (十)有关图纸; (十一)光盘等。 二、论文撰写主要格式及要求 (一)标题 论文题目黑体小二(居中) (二)中英文摘要(中文在前、英文在后) “摘要”、“关键字”、“参考文献”等字样黑体小四号 摘要、关键字、参考文献等正文宋五号 (三)论文正文 1.论文正文部分主要包括:选题背景、方案论证、过程(设计或实验)论述、结果分析、结论或总结。 2.论文正文打印要求 第一层次题序和标题用小三号黑体字; 第二层次题序和标题用四号黑体字; 第三层次题序和标题用小四号黑体字; 正文用宋体小四号(英文用新罗马体12号)。 3.论文页面设置:页码一律用小5号字标明;正文采用23磅行距,标准字符间距,上边距25mm,下边距25mm,左边距28mm,右边距20mm。 三、毕业设计(论文)撰写的内容与要求 (一)标题 标题应该简短、明确、有概括性。标题字数要适当,不宜超过20个字,如果有些细节必须放进标题,可以分成主标题和副标题。

(完整版)武科大EDA试卷及答案

武科大EDA系统设计试卷及答案 一、单项选择题:(20分) 1.IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为_____ D_____。 A .瘦IP B.固IP C.胖IP D.都不是 2.综合是EDA设计流程的关键步骤,在下面对综合的描述中,____ D _____是错误的。 A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程; B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件; C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束; D.综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。 3.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是__C__。 A. FPGA全称为复杂可编程逻辑器件; B. FPGA是基于乘积项结构的可编程逻辑器件; C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。 4.进程中的信号赋值语句,其信号更新是___C____。 A.按顺序完成; B.比变量更快完成; C.在进程的最后完成; D.都不对。 5. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述_____B______。 A.器件外部特性; B.器件的内部功能; C.器件的综合约束; D.器件外部特性与内部功能。 6.不完整的IF语句,其综合结果可实现____ A ____。 A. 时序逻辑电路 B. 组合逻辑电路 C. 双向电路 D. 三态控制电路 7.子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化_____ B____。 ①流水线设计②资源共享③逻辑优化④串行化⑤寄存器配平⑥关键路径法 A. ①③ ⑤ B. ②③④ C. ②⑤ ⑥ D. ①④⑥ 8.下列标识符中,_____B_____是不合法的标识符。 A. State0 B. 9moon C. Not_Ack_0 D.

湖南工程学院学生管理规定

湖南工程学院学生管理规定 院属相关单位: 现将修订后的《湖南工程学院学生管理规定》予以印发,望认真遵照执行,原院教字〔2005〕40文件同时作废。 二OO七年八月二十七日 主题词:高校学生管理制度印发通知 报送:湖南省教育厅 湖南工程学院党政办公室 2007年8月27日印,共94份 湖南工程学院学生管理规定 目录 一、学生的权利和义务 二、湖南工程学院学生学籍管理规定 三、湖南工程学院学生校外获奖奖励规定 四、湖南工程学院学生奖学金条例 五、湖南工程学院学生违纪处分条例

六、湖南工程学院学生校内申诉管理规定

学生权利与义务 第一节学生在校期间依法享有下列权利第一条参加学校教育教学计划安排的各项活动,使用学校提供的教育教学资源; 第二条参加社会服务、勤工助学,在校内组织、参加学生团体及文娱体育等活动; 第三条申请奖学金、助学金及助学贷款; 第四条在思想品德、学业成绩等方面获得公正评价,完成学校规定学业后获得相应的学历证书、学位证书; 第五条对学校给予的处分或者处理有异议,向学校、教育行政部门提出申诉;对学校、教职员工侵犯其人身权、财产权等合法权益,提出申诉或者依法提起诉讼; 第六条法律、法规规定的其他权利。 第二节学生在校期间依法履行下列义务第一条遵守宪法、法律、法规; 第二条遵守学校管理制度; 第三条努力学习,完成规定学业; 第四条按规定缴纳学费及有关费用,履行获得奖学金及助学金的相应义务; 第五条遵守学生行为规范,尊敬师长,养成良好的思想品德和行为习惯; 第六条法律、法规规定的其他义务。

学生学籍管理规定 根据教育部《普通高等学校学生管理规定》和湖南工程学院的实际情况,制定本规定。本规定适用在我校接受普通高等学历教育的本科、专科(高职)全日制学生的学籍管理。 第一节入学与注册 第一条按照国家招生规定经我校录取的新生,持湖南工程学院录取通知书和学校规定的有关证件,在录取通知书规定的日期到校办理入学报到手续。因自然灾害、突发疾病等不可抗力因素,不能按时到校报到者,须持原单位或所在街道、乡镇证明,书面向学校招生办公室请假,经学校主管领导同意可延期二周报到入学。未经请假或请假逾期未能报到注册者,视为自动放弃入学资格。 第二条新生入学后,学校在三个月内按国家招生规定进行复查。复查合格者予以注册,取得学籍,复查不符合招生条件者,取消入学资格。凡属弄虚作假、徇私舞弊取得学籍者,不论何时发现,一经查实,立即取消其学籍。 第三条新生进行体检被发现患有疾病者,经学校指定的二级甲等及以上医院诊断不宜在校学习的,应回家治疗,可以保留入学资格一年。在保留入学资格期内经治疗康复,可以向学校招生办公室申请入学,经学校指定医院诊断,符合体检要求,复查合格后,重新办理入学手续。复查不符合体检要求或逾期不办理入学手续者,取消其入学资格。 第四条每学期开学时,学生必须按时到校办理注册手续。不能如期注册者,应书面陈述暂缓注册理由,由系(部)主任审查同意,经教务处审核,报主管校长审批,可暂缓注册。暂缓注

EDA期末考试考卷及答案

(A卷) 赣南师范学院 2010—2011学年第一学期期末考试试卷(A卷)(闭卷)年级 2008 专业电子科学与技术(本)课程名称 EDA技术基础 2、学生答题前将密封线外的内容填写清楚,答题不得超出密封线; 3、答题请用蓝、黑钢笔或圆珠笔。 一、单项选择题(30分,每题2分) 1.以下关于适配描述错误的是 B A.适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件 B.适配所选定的目标器件可以不属于原综合器指定的目标器件系列 C.适配完成后可以利用适配所产生的仿真文件作精确的时序仿真 D.通常,EDAL软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商提供 2.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A.器件外部特性B.器件的综合约束 C.器件外部特性与内部功能D.器件的内部功能 3.下列标识符中, B 是不合法的标识符。 A.State0 B.9moon C.Not_Ack_0 D.signall 4.以下工具中属于FPGA/CPLD集成化开发工具的是 D A.ModelSim B.Synplify Pro C.MATLAB D.QuartusII 5.进程中的变量赋值语句,其变量更新是 A 。 A.立即完成B.按顺序完成 C.在进程的最后完成D.都不对 6.以下关于CASE语句描述中错误的是 A A.CASE语句执行中可以不必选中所列条件名的一条 B.除非所有条件句的选择值能完整覆盖CASE语句中表达式的取值,否则最末一个条件句的选择必须加上最后一句“WHEN OTHERS=><顺序语句>” C.CASE语句中的选择值只能出现一次 D.WHEN条件句中的选择值或标识符所代表的值必须在表达式的取值范围 7.以下哪个程序包是数字系统设计中最重要最常用的程序包 B A.STD_LOGIC_ARITH B.STD_LOGIC_1164 C.STD_LOGIC_UNSIGNED D.STD_LOGIC_SIGNED 8.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→A →综合→适配→时序仿真→编程下载→硬件测试。 A.功能仿真B.逻辑综合C.配置D.引脚锁定 9.不完整的IF语句,其综合结果可实现 D A.三态控制电路B.条件相或的逻辑电路 C.双向控制电路D.时序逻辑电路 10.下列语句中,属于并行语句的是A A.进程语句B.IF语句C.CASE语句D.FOR语句11.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中, C 是错误的。 A.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件 B.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的 C.综合是纯软件的转换过程,与器件硬件结构无关 D.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 12.CPLD的可编程是主要基于什么结构 D 。 A.查找表(LUT)B.ROM可编程 C.PAL可编程D.与或阵列可编程 13.以下器件中属于Altera 公司生产的是 B A.ispLSI系列器件B.MAX系列器件 C.XC9500系列器件D.Virtex系列器件 14.在VHDL语言中,下列对时钟边沿检测描述中,错误的是 D A.if clk'event and clk = '1' then B.if clk'stable and not clk = '1' then C.if rising_edge(clk) then D.if not clk'stable and clk = '1' then 15.以下关于状态机的描述中正确的是 B A.Moore型状态机其输出是当前状态和所有输入的函数 B.与Moore型状态机相比,Mealy型的输出变化要领先一个时钟周期C.Mealy型状态机其输出是当前状态的函数

2020年湖南工程学院专升本招生简章

根据教育部《湖南工程学院关于选拔普通高校优秀考生进入本科阶段学习的通知》文件精神,结合学校实际,对普通高校毕业生进入本科阶段学习提出如下要求。 一、报考事项安排 1.每年报考我校的考生很多,要早复习,早准备。按照考试范围复习。 2.我校考生,到学校考试中心,办理内部试卷。 3.每年有很多考生,不知道考试重点范围,不知道考试大纲要求,盲目复习,浪费时间和精力,复习效果很差,影响考试。 4.每年有很多考生,选择错误的复习资料,解题思路及讲解答案都是错误的,具有误导性,不利于复习。 5.学校为考生正确复习,印刷内部试卷。 6.内部试卷:包含考试范围、历年真题、考试题库、内部复习资料。 7.专业课,学校出题。一定要按照内部试卷复习,每年都有原题出现。 8.内部试卷联系QQ363.916.816张老师。学校安排邮寄,具体事项联系张老师。 二、选拔对象条件 1.普通高校专科毕业生,主干课程成绩合格,在校学习期间未受到任何纪律处分。 2.身体健康状况符合国家和学校规定的体检要求。 三、招生专业计划 1.招生要求和专业,详见《教育部选拔普通高等学校专科毕业生进入本科阶段学习招生及专业总表》。 2.学校计划招收全日制考生,《专科升入本科招生专业目录》公布的拟招生人数,实际招生人数将根据国家规定我校招生计划、各专业生源情况进行适当调整。我校部分专业将另设计划用于接收调剂生,具体专业及拟招生人数将在初试成绩公布后另行通知。 四、报名资格审核 1.报考考生按照《教育部选拔普通高等学校优秀毕业生进入本科阶段学习专业对照及考试课程一览表》以下简称《专业对照及考试课程一览表》选择报考专业,并填写《教育部普通高等学校毕业生进入本科阶段学习

福建工程学院计算机网络考卷

选择题 1、计算机网络拓扑是通过网中结点与通信线路之间的几何关系表示网络中各实体间的______________。 A)联机关系 B)结构关系 C)主次关系 D)层次关系 2、IEEE802.3的物理层协议100BASE-T规定从网卡到集线器的最大距离为________。 A)100m B)185m C)500m D)850m 3、IEEE802.3的物理层协议100BASE-T中T表示________。 A)光纤 B)铜轴电缆 C)双绞线 D)蓝牙 4、以下有关操作系统的叙述中,哪一个是错误的? __________。 A)操作系统管理着系统中的各种资源 B)操作系统应为用户提供良好的界面 C)操作系统是资源的管理者和仲裁者 D)操作系统是计算机系统中的一个应用软件 5、当用户向ISP申请Internet账号时,用户的E-Mail账号应包括_______。 A)Username B)MailBox C)Password D)UserName、Password 6、为了避免IP地址的浪费,需要对IP地址中的主机号部分进行再次划分,将其分划成________两部分。 A)子网号和主机号 B)子网号和网络号 C)主机号和网络号 D)子网号和分机号 7、在网络安全中,截取是指末授权的实体得到了资源的访问权。这是对________。 A)可用性的攻击 B)完整性的攻击 C)保密性的攻击 D)真实性的攻击 8、特洛伊木马攻击的威胁类型属于________。 A)授权侵犯威胁 B)植入威胁 C)渗入威胁 D)旁路控制威胁 9、在网络中用双绞线替代细缆 A)成本低 B)可靠 C)抗干扰 D)抗物理损坏 10、网络协议的三个要素是: 语法、语义与__________。 A)工作原理 B)时序 C)进程 D)传输服务 11.下列选项中哪一个不属于网络操作系统_______。

《EDA》试题B答案

2007 至2008学年度第二学期期末考核 《EDA》试题(开卷) 卷号:B 时间:120 分钟 2008 年6 月 专业:电子信息工程学号:姓名: 一填空题(20分) 1、VHDL 2、DEVICE.LIB SYMBOLS.LIB 3、实际零件焊接到电路板时所指示的外观和焊点的位置 4、电子设计自动化电子CAD技术 5、A L T E R A,X I L I N X 6、WAIT 7、电路连接 8、SRAM-BASE 9、2.54mm 300mil 10、元件外观和元件引线端子的图形 二名词解释(20分) 1 PLD/FPGA PLD是可编程逻辑器件(Programable Logic Device)的简称,FPGA是现场可编程门阵列(Field Programable Gate Array)的简称,两者的功能基本相同,只是实现原理略有不同,所以我们有时可以忽略这两者的区别,统称为可编程逻辑器件或PLD/FPGA。 2.过孔 当需要连接两个层面上的铜膜走线时就需要过孔(Via),过孔 又称为贯孔、沉铜孔和金属化孔。 过孔分为穿透式(Through)、半隐藏式(Blind)和隐藏式(Buried) 3.铜膜线 就是连接两个焊盘的导线,称为Track,一般铜膜线走线在不 同层面取不同的走向,例如顶层走水平线,则底层走垂直线。顶 层和底层走线之间的连接采用过孔(Via)连接。 4 PROM、PAL和PLA PROM:与阵列固定,或阵列可编程,一般用作存储器,其输入为存储器的地址,输出为存储器单元的内容。但输入的数目太大时,器件功耗增加,其局限性大。 PLA:与或阵列均可编程,但是其慢速特性和相对PAL、PROM而高得多的价格妨碍了它被广泛使用。PAL:或阵列固定,与阵列可编程,其第二代产品GAL具有了可电擦写、可重复编程、可设置加密的功能。 5 自顶向下的/自下而上的设计方法 自下而上的设计方法,使用该方法进行硬件设计是从选择具体元器件开始,并用这些元器件进行逻辑电路设计,从而完成系统的硬件设计,然后再将各功能模块连接起来,完成整个系统的硬件设计,自顶向下的设计方法就是从系统的总体要求出发,自顶向下分三个层次对系统硬件进行设计。 第一个层次是行为描述第二个层次是数据流描述第三个层次为逻辑综合

湖南工程学院电力电子2013考试试卷

填空 1 通常电力电子技术分为信息电子和电力电子两个分支。 2 滞环比较方式的电流跟踪型pwm变流电路属于实时控制方式电流响应快。 3在硬开关过程中会产生较大的开关噪声和开关损耗。 4 电力MOSFET开通的栅源极间驱动电压一般取10~15V使IGBT开通的栅射极间驱动电压一般取15~20V. 5 在双端电路中,变压器中的电流为直流脉动电流。 6.电网频率为50HZ时,交电频电路输出上限频率是20HZ。 7. 选择题 矩阵式变频电路理论上最大的输出输入电压比(D. 0.866) 换流方式:器件换流。强迫换流。负载电流,电网换流 对晶闸管来说,通常螺栓是其(阳极) 一个控制周期中电源侧的电流脉冲波数称斩波电路的(相数) 过压保护常用(避雷器;阻容吸收;硒堆;压敏电阻;整流式阻容吸收)。 名词解释 1.PWM控制——就是对脉冲的宽度进行调制的技术。即通过对一系列脉冲的宽度 进行调制,来等效地获得所需要波形。 2.有源逆变电路——把直流电逆变成交流电的电路称为逆变电路。当交流侧和电网 连结时,这种逆变电路称为有源逆变电路。 3.交流调压电路——在每半个周波内通过对晶闸管开通相位的控制,可以方便地调 节输出电压的有效值,这种电路称为交流调压电路。 4.逆变颠覆——逆变运行时,一旦发生换相失败,外接的直流电源就会通过晶闸管 电路形成短路,或者使变流器的输出平均电压和直流电动势变成顺向串联,由于逆变电路的内阻很小,形成很大的短路电流,这种情况称为逆变失败,或逆变颠覆。

造成逆变失败的原因有逆变桥晶闸管或元件损坏,供电电源缺相,逆变角太小,触发脉冲丢失或未按时到达, 间接电流控制——为了使PWM整流电路功率因数近似为1,即要求输入电流为正弦且和电压同相位,可以有多种控制方法。根据有没有引入电流反馈可以将这些控制方法 分两种,没有引入交流电流反馈的称为间接电流控制。 自然采样法———按照SPWM控制的基本原理,在正弦波和三角波的自然交点时刻控制功率开关器件的通断。 无源逆变——把工频交流电能或直流电能变换成频率和电压都可调节的交流电能供给 负载 触发延迟角————在晶闸管整流器中,用来调压,与一般晶闸管调压系统一样,采用相位控制,通过改变触发脉冲的延迟角,来获得与逆变器输出频率相对应的不同大 小的直流电压。 比较不同 交流调压:是通过控制交流电移相触发角控制输出电压大小。 交流调功:是通过控制交流电通断比例(PWM)来控制输出功率大小 区别:小功率场合叫调压,大功率场合叫调功,调压是调电压的高低,调功是 调带负载的能力或大小 场效应晶体管简称场效应管。由多数载流子参与导电,也称为单极型晶体管。它属于电压 控制型半导体器件。具有输入电阻高、噪声小、功耗低、动态范围大、易于集成、没有二 次击穿现象、安全工作区域宽等优点,现已成为双极型晶体管和功率晶体管的强大竞争者。 IGBT,绝缘栅双极型晶体管,是由BJT(双极型三极管)和MOS(绝缘栅型场效应管)组 成的复合全控型电压驱动式功率半导体器件, 兼有MOSFET的高输入阻抗和GTR的低 导通压降两方面的优点 问答题 1 电力电子装置中外因和内因过电压的主要内容分别是什么? 外因:操作过电压,雷击过电压 内因:换相过电压,关断过电压 2 什么是软开关?分类 见书200页

EDA(FPGA)期末考试试题

这是长期总结的EDA期末考试试题 试题一 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。 (2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。 (3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。 (4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12 答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 2-1 叙述EDA的FPGA/CPLD设计流程。 P13~16 答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。 2-2 IP是什么?IP与EDA技术的关系是什么? P24~26 IP是什么? 答:IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。 IP与EDA技术的关系是什么? 答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP通常是以硬件描述语言HDL源文件的形式出现。固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬IP提供设计的最终阶段产品:掩模。 3-1 OLMC(输出逻辑宏单元)有何功能?说明GAL是怎样实现可编程组合电路与时序电路的。 P34~36 答:OLMC单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等。 说明GAL是怎样实现可编程组合电路与时序电路的? 答:GAL(通用阵列逻辑器件)是通过对其中的OLMC(输出逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的。 3-2 什么是基于乘积项的可编程逻辑结构? P33~34,40 答:GAL、CPLD之类都是基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的PAL(可编程阵列逻辑)器件构成。 3-3 什么是基于查找表的可编程逻辑结构? P40~41 答:FPGA(现场可编程门阵列)是基于查找表的可编程逻辑结构。 3-7 请参阅相关资料,并回答问题:按本章给出的归类方式,将基于乘积项的可编程逻辑结构的PLD器件归类为CPLD;将基于查找表的可编程逻辑结构的PLD器什归类为FPGA,那么,APEX系列属于什么类型PLD器件? MAX II系列又属于什么类型的PLD器件?为什么? P54~56 答:APEX(Advanced Logic Element Matrix)系列属于FPGA类型PLD器件;编程信息存于SRAM中。MAX II系列属于CPLD类型的PLD器件;编程信息存于EEPROM中。 4-3. 图3-31所示的是双2选1多路选择器构成的电路MUXK,对于其中MUX21A,当s='0'和'1'时,分别有y<='a'和y<='b'。试在一个结构体中用两个进程来表达此电路,每个进程中用CASE语句描述一个2选1多路选择器MUX21A。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MUX221 IS PORT(a1,a2,a3:IN STD_LOGIC_VECTOR(1 DOWNTO 0); --输入信号 s0,s1:IN STD_LOGIC; outy:OUT STD_LOGIC);--输出端 END ENTITY; ARCHITECTURE ONE OF MUX221 IS SIGNAL tmp : STD_LOGIC; BEGIN

电子信息工程专业主要课程简介

电子信息工程专业主要课程简介 1G10125 电路分析学分:4.0 Circuit Analysis 预修课程:高等数学,大学物理 内容简介:本课程的任务主要是讨论线性、集中参数、非时变电路的基本理论与一般分析方法,使学生掌握电路分析的基本概念、基本原理和基本方法,提高分析电路的思维能力与计算能力,以便为学习后续课程奠定必要的基础。 推荐教材:《电路分析》,胡翔骏、黄金玉,高等教育出版社,2001年 主要参考书:《电路》(第四版),邱关源,高等教育出版社,1999年,“九五”重点教材 1G10447 信号与系统学分:4.0 Signal & System 预修课程:电路分析、工程数学 内容简介:信号与系统是通信和电子信息类专业的核心基础课,其中的概念和分析方法广泛应用于通信、自动控制、信号与信息处理、电路与系统等领域。本课程中通过信号分解、连续系统时域分析、频域分析、复频域分析和离散系统时域分析、变换域分析方法的学习,培养思维能力,为后续课程打下必要的理论基础。推荐教材:《信号与系统教程》,燕庆明,高等教育出版社,2004年 主要参考书:《信号与系统》,郑君里,高等教育出版社,2000年 1G10295模拟电子技术学分:4.0 Analog Electronic Technology

预修课程:高等数学、电路分析 内容简介:模拟电子技术是电子信息工程专业最主要的专业基础课之一,主要讲授晶体二极管、晶体三极管和场效应管的基本原理和工作特性,重点分析放大器的工作原理,使学生能充分理解基本放大器、多级放大器、负反馈放大器和低频功率放大器的交流和直流特性及其简单应用,并在其基础上了解集成运算放大器的结构,着重掌握集成运算放大器的各种应用。对于直流稳压电源主要了解其组成和各部分功能及典型电路。模拟集成电路应用主要讲解常用模拟集成电路,如NE555的各种应用。 推荐教材:《模拟电子技术》,邬国扬等编,西安电子科技大学出版社,2002年主要参考书:《电子技术基础模拟部分》(第四版),康华光等编,高等教育出版社,1999年 1G10335数字电子技术学分:3.0 Digital Electronic Technology 预修课程:高等数学、电路分析、模拟电子技术 内容简介:数字电子技术是电子信息工程专业最主要的专业基础课之一,首先讲授逻辑代数和门电路,使学生掌握基本逻辑代数的运算和基本门电路组成结构。在此基础上讲解组合电路和时序电路,使学生掌握基本组合电路和时序电路的特点和工作原理,并结合逻辑代数、状态表和状态图设计简单组合电路和时序电路。了解脉冲信号的产生以及对脉冲信号的整形、半导体存储器RAM和ROM的结构以及数/模转换器(DAC)和模/数转换器(ADC)的工作原理。 推荐教材:《电子技术基础数字部分》(第四版),康华光等编,高等教育出版社,1999年

EDA期末试卷

《EDA》期末试卷 一、选择题(每小题3分,共30分) 1、在下图中,F0的逻辑关系为: A.A0⊕A1 B.A0⊙A1 C.A0·A1 D.A0·A1 2、在VHDL中,用语句()表示clock的下降沿。 A.clock='1' B.clock'EVENT AND clock='1' C.clock='0' D.clock'EVENT AND clock='0' 3、如果p1=’1’,p2=’1’,则执行z <= a WHEN p1 = '1' ELSE b WHEN p2 = '1' ELSE c ;之后z 的值为: A.a B.b C.c D.不确定 4、进程中的信号赋值语句,其信号更新是: A.按顺序完成 B.比变量更快完成 C.在进程最后完成 D.都不对 5、不完整的IF语句,其综合结果可实现: A.时序逻辑电路 B.组合逻辑电路 C.双向电路 D.三态控制电路 6、reg的数据类型为std_logic_vector(7 downto 0),初值为FF,执行reg(0)<=’0’;reg(7 downto 1)<=reg(6 downto 0);之后,reg的值为: A.FF B.FE C.FC D.FD 7、在VHDL中()不能将信息带出对它定义的当前进程。 A. 信号 B. 常量 C. 数据 D. 变量 8、执行下列语句后Q的值等于: …… SIGNAL E: STD_LOGIC_VECTOR (2 TO 5); SIGNAL Q: STD_LOGIC_VECTOR (9 DOWNTO 2); …… E<=(2=>’1’, 4=>’1’, OTHERS=>’0’); Q<=(2=>E (2), 4=>E (3), 5=>’1’, 7=>E (5), OTHERS=>E (4)); …… A.”11011011” B.”00110100” C.”11011001” D.”00101100” 9、在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。 A.idata <= “00001111”; B.idata <= b”0000_1111”; C.idata <= X”AB”; D.idata <= B”21”; 10、在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0 to 127,下面哪个赋值语句是正确的。

大学物理仿真实验凯特摆测量重力加速度

福建工程学院 实验报告 专业:通信工程 班级:1002 座号:3100205219 姓名:郑智勇 日期:2011-10-20

凯特摆测量重力加速度 实验目的: 1. 学习凯特摆的实验设计思想和技巧。 2. 掌握一种比较精确的测量重力加速度的方法。 3. 利用凯特摆测量重力加速度的方法 实验内容: 一.实验原理 图一是复摆的示意图,设一质量为m 的刚体,其重心G 到转轴O的距离为h ,绕O 轴的转动惯量为I ,当摆幅很小时,刚体绕O 轴摆动的周期T 为 mgh I T π2= (1) 式中g 为当地的重力加速度。 设复摆绕通过重心G 的轴的转动惯量为I G ,当G 轴与O 轴平行时,有 2 mh I I G += (2) 代入式(1)得 mgh mh I T G 2 2+=π (3) 对比单摆周期的公式g l T π2=,可得 mh mh I l G 2 += (4) l 称为复摆的等效摆长。因此只要测出周期和等效摆长便可求得重力加速度。 复摆的周期我们能测得非常精确,但利用mh mh I l G 2 +=来确定l 是很困难的。因为重心G 的位置不易测定,因而重心G 到悬点O 的距离h 也是难以精确测定的。同时由于复摆不可能做成理想的、规则的形状,其密度也难绝对均匀,想精确计算I G 也是不可能的。我们利用复摆上两点的共轭性可以精确求得l 。在复摆重心G 的两旁,总可找到两点

O和O’,使得该摆以O悬点的摆动周期T1与以O’为悬点的摆动周期T2相同,那么可以证明' OO就是我们要求的等效摆长l。 图一复摆示意图图二凯特摆摆杆示意图图二是凯特摆摆杆的示意图,对凯特摆而言,两刀口间的距离就是该摆的等效摆长l。在实验中当两刀口位置确定后,通过调节A、B、C、D四摆锤的位置可使正、倒悬挂时的摆动周期T1和T2基本相等,即T1≈T2。由公式(3)可得 1 2 1 1 2 m gh m h I T G + =π (5) 2 2 2 2 2 m gh m h I T G + =π (6)其中T1和h1为摆绕O轴的摆动周期和O轴倒重心G的距离。当T1≈T2时,h1+h2=l即为等效摆长。由式(5)和(6)消去I G,可得 ()b a l h T T l T T g + = - - + + = 1 2 2 2 1 2 2 2 1 2 2 2 2 4π (7)式中,l、T1、T2都是可以精确测定的量,而h1则不易测准。由此可知,a项可以精确求得,而b项不易精确求得。但当T1=T2以及l h- 1 2的值较大时,b项的值相对a项是非常小的,这样b项的不精确对测量结果产生的影响就微乎其微了。 二.实验内容 1.实验仪器 本实验装置包括凯特摆、光电探头和多用数字测试仪。 实验中将光电探头放在摆杆下方,调整它的位置和高度,让摆针在摆动时经过光电探测器。电信号由B插口输入到数字测试仪中,数字测试仪的功能选择旋钮放在“振动计数”档,时标旋钮放在“0.1ms”档,计停开关置于“停止”,然后接通电源。

EDA期末考试试卷及答案

一、单项选择题(30分,每题2分) 1.以下关于适配描述错误的是 B A.适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件 B.适配所选定的目标器件可以不属于原综合器指定的目标器件系列 C.适配完成后可以利用适配所产生的仿真文件作精确的时序仿真D.通常,EDAL软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商提供 2.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A.器件外部特性B.器件的综合约束 C.器件外部特性与内部功能D.器件的内部功能3.下列标识符中, B 是不合法的标识符。 A.State0 B.9moon C.Not_Ack_0 D.signall 4.以下工具中属于FPGA/CPLD集成化开发工具的是 D A.ModelSim B.Synplify Pro C.MA TLAB D.QuartusII 5.进程中的变量赋值语句,其变量更新是 A 。 A.立即完成B.按顺序完成 C.在进程的最后完成D.都不对 6.以下关于CASE语句描述中错误的是 A A.CASE语句执行中可以不必选中所列条件名的一条 B.除非所有条件句的选择值能完整覆盖CASE语句中表达式的取值,否则最末一个条件句的选择必须加上最后一句“WHEN OTHERS=><顺序语句>” C.CASE语句中的选择值只能出现一次 D.WHEN条件句中的选择值或标识符所代表的值必须在表达式的取值范围 7.以下哪个程序包是数字系统设计中最重要最常用的程序包 B A.STD_LOGIC_ARITH B.STD_LOGIC_1164 C.STD_LOGIC_UNSIGNED D.STD_LOGIC_SIGNED 8.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→ A →综合→适配→时序仿真→编程下载→硬件测试。 A.功能仿真B.逻辑综合C.配置D.引脚锁定 9.不完整的IF语句,其综合结果可实现 D A.三态控制电路B.条件相或的逻辑电路 C.双向控制电路D.时序逻辑电路 10.下列语句中,属于并行语句的是A A.进程语句B.IF语句C.CASE语句D.FOR 语句 11.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中, C 是错误的。 A.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD 的基本结构相映射的网表文件 B.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的 C.综合是纯软件的转换过程,与器件硬件结构无关 D.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 12.CPLD的可编程是主要基于什么结构 D 。 A.查找表(LUT)B.ROM可编程

福建工程学院2020考研大纲:有机化学

福建工程学院2020考研大纲:有机化学 福建工程学院2019考研大纲:有机化学由考研大纲频道为大家提供,更多考研资讯请关注我们网站的更新! 福建工程学院2019考研大纲:有机化学 一、考试科目名称:有机化学 二、招生学院(盖学院公章):材料科学与工程学院 三、招生专业:材料科学与工程 基本内容: 有机化学 一、课程性质 《有机化学》是一门重要的专业基础课程,要求考生全面系统地掌握有机化学中基本理论,各类有机化合物的结构特点和命名、物化性质和化学性质、制备方法;有机化合物结构的解析。 二、考纲范围 1有机化合物的结构和化学键 共价键:价键形成,属性,断裂和有机反应的类型;分子间相互作用力:偶极-偶极相互作用,范德华力,氢键;酸碱的概念;有机化合物的分类。 2饱和烃 烷烃和环烷烃的结构与命名;烷烃和环烷烃的物理性质;烷烃的化学性质:自由基取代,氧化,异构化,裂化,小环环烷烃的加成反应。 3不饱和烃

烯烃和炔烃的结构与命名;烯烃和炔烃的物理性质;烯烃和炔烃的化学性质:加氢,亲电加成,亲核加成,氧化反应,聚合反应,α- 氢原子的反应,炔烃的活泼氢反应;二烯烃的结构、分类和命名;二 烯烃的共轭效应;共轭二烯烃的化学性质:1,4-加成反应,电环化反应,双烯合成。 4芳香烃 单环芳烃的构造异构和命名;苯的结构;单环芳烃的物理性质;化 学性质:芳烃苯环上的反应,芳烃侧链上的反应;苯环上亲电取代反 应的定位规律;稠环芳烃;非苯芳烃。 5立体化学 手性和对称性;旋光性;手性碳的构型表示式与标记;含有手性碳 原子化合物的立体异构;消旋体的拆分;环状化合物的对映异构。 6卤代烃 卤代烷的分类和命名;卤代烷的物理性质;卤代烷的化学性质:亲核取代反应,消除反应,与金属反应,相转移催化;亲核取代取代反 应的机理及影响因素;消除反应的机理及影响因素;卤代烯烃的分类 和命名;卤代烯烃的化学性质:双键位置对卤原子活泼性的影响;氟 代烃。 7醇、酚、醚 醇、酚、醚的结构和命名;醇、酚、醚的物理性质;醇的化学性质:与活泼金属的反应,羟基被卤素取代的反应,酯化反应,脱水反应,氧化反应;酚的化学性质:酚羟基的反应,芳环上的亲电取代反应, 氧化反应;醚的化学性质:珜盐的生成,醚键的断裂,过氧化物的生成;环醚和冠醚。 8醛、酮、醌 醛、酮、醌的结构和命名;醛、酮、醌的物理性质;醛和酮的化学性质:羰基的亲核加成反应,与氨衍生物的加成-消除反应,α-氢 的反应,氧化和还原反应;醌的化学性质:加成反应,还原反应。

EDA技术期末试卷(含答案)

一、单项选择题(30分) 1.以下描述错误的是 C A.QuartusII是Altera提供的FPGA/CPLD集成开发环境 B.Altera是世界上最大的可编程逻辑器件供应商之一 C.MAX+plusII是Altera前一代FPGA/CPLD集成开发环境QuartusII的更新换代新产品 D.QuartusII完全支持VHDL、Verilog的设计流程 2.以下工具中属于FPGA/CPLD开发工具中的专用综合器的是 B A.ModelSim B.Leonardo Spectrum C.Active HDL D.QuartusII 3.以下器件中属于Xilinx 公司生产的是 C A.ispLSI系列器件 B.MAX系列器件 C.XC9500系列器件 D.FLEX系列器件 4.以下关于信号和变量的描述中错误的是 B A.信号是描述硬件系统的基本数据对象,它的性质类似于连接线 B.信号的定义范围是结构体、进程 C.除了没有方向说明以外,信号与实体的端口概念是一致的 D.在进程中不能将变量列入敏感信号列表中 5.以下关于状态机的描述中正确的是 B A.Moore型状态机其输出是当前状态和所有输入的函数 B.与Moore型状态机相比,Mealy型的输出变化要领先一个时钟周期 C.Mealy型状态机其输出是当前状态的函数 D.以上都不对 6.下列标识符中, B 是不合法的标识符。 A.PP0 B.END C.Not_Ack D.sig 7.大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是 C 。 A.CPLD即是现场可编程逻辑器件的英文简称 B.CPLD是基于查找表结构的可编程逻辑器件 C.早期的CPLD是从GAL的结构扩展而来 D.在Altera公司生产的器件中,FLEX10K 系列属CPLD结构 8.综合是EDA设计流程的关键步骤,在下面对综合的描述中, D 是错误的。 A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程 B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件 C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 D.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的) 9.嵌套使用IF语句,其综合结果可实现 A 。 A.带优先级且条件相与的逻辑电路 B.条件相或的逻辑电路 C.三态控制电路 D.双向控制电路 10.在VHDL语言中,下列对时钟边沿检测描述中,错误的是 D 。 A.if clk'event and clk = ‘1’ then B.if falling_edge(clk) then C.if clk’event and clk = ‘0’ then D.if clk’stable and not clk = ‘1’ then 11.下列那个流程是正确的基于EDA软件的FPGA / CPLD设计流程 B A.原理图/HDL文本输入→适配→综合→功能仿真→编程下载→硬件测试B.原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试C.原理图/HDL文本输入→功能仿真→综合→编程下载→→适配硬件测试; D.原理图/HDL文本输入→功能仿真→适配→编程下载→综合→硬件测试12.在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,正确的是 A 。 A.PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动 B.敏感信号参数表中,应列出进程中使用的所有输入信号 C.进程由说明部分、结构体部分、和敏感信号参数表三部分组成 D.当前进程中声明的变量也可用于其他进程 13.下列语句中,不属于并行语句的是 B A.进程语句 B.CASE语句 C.元件例化语句 D.WHEN…ELSE…语句 14.VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库 D A.IEEE库 B.VITAL库C.STD库D.WORK库15.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A.器件外部特性 B.器件的综合约束 C.器件外部特性与内部功能 D.器件的内部功能 二、EDA名词解释,写出下列缩写的中文含义(10分) 1.CPLD:复杂可编程逻辑器件 2.ASIC:专用集成电路 3.LUT:查找表 4.EDA:电子设计自动化 5.ROM:只读存储器 三、程序填空题(20分) 以下是一个模为24(0~23)的8421BCD码加法计数器VHDL描述,请补充完整

相关文档
最新文档