病房呼叫系统设计报告

病房呼叫系统设计报告
病房呼叫系统设计报告

病房呼叫系统设计报告

一、设计要求 (2)

二、设计的具体实现 (2)

1、系统概述 (2)

2、单元电路设计与分析 (4)

2.1 5秒呼叫模块 (4)

2.2 呼叫显示模块 (6)

2.3 优先显示模块 (7)

一、设计要求

此设计是用于医院病人的紧急呼叫,其设计要求如下:

1.当病人按下呼救信号按钮,呼救灯亮,同时显示病人编号,蜂鸣器发出5秒呼救声,等待医护人员来护理。

2.按照病人的病情划分出优先级别,有多个病人同时呼救时,系统优先显示最高级别的呼救编号。

3.当医护人员处理完最高级别呼救后,按下清零键,系统按优先等级先后显示其他病人编号。

二、设计的具体实现

1.系统概述

本设计的指导思想是设计一个当病人紧急呼叫时,产生声光提示,并显示病人编号;然后根据病人病情进行优先级别设置,当有多人呼叫时,病情严重优先;医护人员处理完当前最高级别的呼叫后,清除已处理的最高级别的呼叫信号,系统按优先级别显示其他呼叫病人的编号。由呼叫信号的锁存,CD4532优先编码,由744511译码显示和逻辑控制清除几部分构成,其核心在CD4532优先编码器。

方案:病房呼叫系统的逻辑门电路如图1.1所示。它由模拟开关、优先编码器、锁存器、数码管、逻辑门、信号灯、单稳态触发器、蜂鸣器组成。模拟病房号通过优先编码器显示优先级最高的病床号。并且通过锁存器储存起来,按R键将清除已处理的信号。

病房呼叫系统的逻辑方框图

由上述图文说明可看出此方案能够对最优先级别的呼叫信号进行处理,编码和译码,最后显示出来。在完成最优先级呼叫信号的处理之后,可以通过医护人员手动复位,从而对其他信号的处理。

工作原理:用D锁存器锁存,再用一个8线-3线优先编码器4532对病房号编码,再用译码器4511译出最高级的病房号。当有病房号呼叫时,通过译码器和逻辑门触发(由555构成的单稳触发器)从而控制蜂鸣器发出5秒钟的呼叫声。呼叫信号控制晶闸管从而控制病房报警灯的关亮。若有多个病房同时呼叫,待医护人员处置好最高级的病房后,由人工将系统的复位(手动)。

工作流程图

2、单元电路设计与分析

2.1 5秒呼叫模块

利用555集成时基电路组成脉冲启动型单稳态电路,产生定长时间的震荡信号驱动蜂鸣器呼叫。配以相应参数的阻容器件,可将震荡时间准确的控制在要求的5秒钟。

电路原理图

此电路由模拟开关、4输入或非门集成芯片4002、由555构成的单稳态触发器和蜂鸣器组成。模拟开关初始状态为全低电平。将模拟开关的所有输入端经与非门后接入555的触发输入2端。再由555的输出端3接蜂鸣器。

当无病房呼叫时,模拟开关全为低电平输入给逻辑门,之后输入555的2端口时依旧是高电平。由于由555构成的单稳态触发器是低电平

触发,

且无触发时输出低电平。所以此时蜂鸣器无声音。

只要有病房呼叫时,555的2端将接入低电平,触发器被触发,进入暂稳态状态中。其输出端3输出5秒的高电平,则蜂鸣器呼叫5秒钟。呼叫时间5秒即为单稳态的暂态时间。由555构成的单稳态的暂

态(即输出高电平时间)公式算得。

Th=RCLn3 =454×103×10×10-6×1.1=5 s 这里取470k的标准电阻。蜂鸣器是一种一体化结构的电子讯响器,采用直流电压供电,广泛应用于计算机、打印机、复印机、报警器、电子玩具、汽车电子设备、电话机、定时器等电子产品中作发声器件。我们本次设计就是用这种设备来模拟,它的外形常见的几种(图2.2),一般呈圆柱状,下面两针长短不同,长的就为正极,短为负极,我们只要在正负极加上正向电压其便可以发出声响。

常见蜂鸣器

555定时器电路的内部结构如下图所示,其有两个比较器C1和C2,且它们各有一个输入端连接到三个电阻R组成的分压器上,比较器的

输出接到RS触发器上。此外还有输出级和放电管,输出级的驱动电流可达200mA。其内部结构如图

由其内部结构我们可以得到它的功能表如下所示:

555定时器功能表

2.2 呼叫显示模块

因为病房中的呼叫开关1~4都是可自动弹起的按扭开关,其提供信号不稳定不确定,所以设计中只能利用呼叫时的一个脉冲信号,才能保证呼叫的及时、准确。

利用晶闸管的开关特性,使晶闸管与信号灯串联在电源上,使用呼叫

信号控制相应的信号灯串联晶闸管,当有病房呼叫信号来时,晶闸管导通使对应的小灯点亮报警,直到人为复位清零。

其电路原理图如图2.6。

当无呼叫时,呼叫开关都处在低电平,尽管晶闸管的两端加上了足够的正向电压,但由于G端无触发信号而不能导通,小灯不亮。当有呼叫时,相应的模拟开关会接通高电平,这时晶闸管两端有足够的正向压降且有足够的触发电压,满足导通的条件,管子导通,小灯发光,报警。实验中将12v直流电源与复位开关、报警灯以及晶闸管正向连接,利用模拟开关控制晶闸管的打开,用复位开关控制晶闸管的断开,以达到利用呼叫的不稳定信号,触发出稳定的报警输出,直到人为处理。

2.3优先显示模块

用可编程逻辑控制电路,这种方法在应用中能非常方便有效的控制,而且对于以后附加功能的实现、电路功能的扩展很方便,只是需要掌

握一定的编码优先位及相应电路的应用,在熟悉可编程控制电路的应用的情况下也是可行的,而且对于实用来说更有意义。但考虑到作者对编程控制的应用能力有限,以及实际应用的成本和控制部件的利用率等问题。

此电路由模拟开关、优先编码器4532,D锁存器7475、译码器4511、数码管等组成。模拟开关初始状态为全高电平。将模拟开关的所有输入端接D锁存器后,D锁存器输出分别4532的D0-D3。其他D接入端都接地,EI接高电平Vcc.4532的输出Q0,Q1,Q2分别接入译码器4511的A,B,C;D接地。译码器4511的输出A-G对应接数码管的a-g。

优先编码器4532功能表

在本设计中我们用的是CD4511来对数码管进行控制。下面来看一下4511的功能引脚图3.9

系统调试方法介绍和系统功能

系统调试方法介绍:此电路我们需要调试的东西不多,首先将各个模块连接到一起后,注意检查各个部分是否连接正确和连接端是否连接好。注意我们所需要的芯片参数是否正确,电解电容的极性是否连接正确,在测试看看各管脚的电平是否符合我们理论上达到的值,同时观察各个集成器件的各个管脚的电平变化情况,以及数码显示器的显示是否正确.如有不正确的就将其逐个问题解决了再进行后面的调试,直到所有问题都解决了为止。

各模块连接好之后就可以对电路进行调试了。首先触发一个病人信号,看是否产生声、光报警,并且声音响5秒,数码管是否显示对应的病人编

号;直到完成了此功能,进行下一向调试。下一向调试触发多个病人信号,同样看是否产生声、光报警,并且声音响5秒,数码管是否显示最优先的病人编号;如能完成此功能那么它是正确的。

系统功能:在有多个呼叫信号同时产生时,对已有的最高级别信号进行清零,观察系统是否能够对剩余信号中的最高级别信号进行优先呼叫。或者在原有呼叫信号的基础上再输入一个最高级别的呼叫信号,观察系统是否能将此最高呼叫信号优先呼叫。

(1)1脚是输出使能(OE),是低电平有效,当1脚是高电平时,不管输入3、4、7、8、13、14、17、18如何,也不管11脚(锁存控制端,G)如何,输出2(Q0)、5(Q1)、6(Q2)、9(Q3)、12(Q4)、15(Q5)、16(Q6)、19(Q7)全部呈现高阻状态(或者叫浮空状态)。

(2)当1脚是低电平时,只要11脚(锁存控制端,G)上出现一个上升沿,输出2(Q0)、5(Q1)、6(Q2)、9(Q3)、12(Q4)、15(Q5)、16(Q6)、19(Q7)立即呈现输入脚3、4、7、8、13、14、17、18的状态。

锁存端LE 由高变低时,输出端8 位信息被锁存,直到LE 端再次有效。当三态门使能信号OE为低电平时,三态门导通,允许Q0~Q7输出,OE为高电平时,输出悬空。当74LS373用作地址锁存器时,应使OE为低电平,此时锁存使能端G为高电平时,输出Q0~Q7 状态与输入端D1~D7状态相同;当G发生负的跳变时,输入端D0~D7 数据锁入Q0~Q7。51单片机的ALE信号可以直接与74LS373的G连接。

E1为选通输入端,低电平有效。即只有在E1 =0时,编码器才能正常编码。当E1 =1时,无论输入端如何,所有输出端均被封锁在高电平。输入端和输出端都是低电平有效。7端的优先权最高,0端的优先权最低。只要7端为“0”,输入端6 ~0为任何值都可以,但是只对7进行编码,即输出A2A 1A0=000 ,表最后一行中,只有7~1都是无效的输入电平时(高电平),才对0的输入低电平进行编码,即输出A2A 1A0 =111。除此以外,还有两个扩展输出端,用于扩展编码器的功能。其中称为扩展端,低电平有效。称为选通输出端,低电平有效。由表4.5还可以看出,第一行、第二行和第十行中编码输出都是2 10=111,把它们区分开,靠这些端钮互相配合来完成。如第一行E1 =1,GS=1 EO=1表示“此片未工作”;

第二行E1 =0 GS =1 EO =0表示“此片工作,但无编码输入”;第十行E1 =0 GS =0 EO =1表示“此片工作,且有编码输入”。

输入输出

EI I7I6I5I4I3I2I1I0Y2Y1Y0GS EO L X X X X X X X X L L L L L H L L L L L L L L L L L L H H H X X X X X X X H H H H L H L H X X X X X X H H L H L H L L H X X X X X H L H H L H L L L H X X X X H L L H L H L L L L H X X X L H H H L H L L L L L H X X L H L H L H L L L L L L H X L L H H L H L L L L L L L H L L L H L

CD4511 是一组用来作为BCD 对共阴极LED 七段显示器译码的包装。其引脚图,图1与真值表

图2所示,其各脚功能如下: LT :做灯泡测试用,当LT=0,则不论其它输入状态为何,其输出abcdefg=1111111,使七段显示器全亮,即显示8,以便观测七段显示器是否正常。当LT=1,则正常*。

BI :空白输入控制,当BI=0 (LT 为1 时) 则不论DCBA 之输入为何,其输出abcdefg 皆为0,即七段显示器完全不亮,此脚可供使用者控制仅对有效数据译码,避免在无意义的数据输入时显示出来造成字型的系乱。

LE :数据栓锁致能控制;在CD4511 中,不但具译码功能,更具有数据栓锁的记忆功能。当LE=0 时(LT=1 且 BI=1),DCBA 数据会被送入IC 的缓存器中保存,以供译码器码;当LE=1 时,则IC 中的暂存器会关

闭,仅保存原来在LE=0 时的DCBA 数据供译码器译码。换句话说当LE=1 时,不论DCBA 的输入数据为何,皆不影响其输出,其输出abcdefg 仍保留原来在LE 由0 转为1。

定时器功能表

输入 输出 Rc V 11 V 12 Vo T D 状态 0 × × 低 导通 1 >2Vcc/3 >Vcc/3 低 导通 1 <2Vcc/3 >Vcc/3 不变 不变 1 <2Vcc/3

>2Vcc/3

截止

输入输出I0 I1 I2I3Q0

0 0 0 0 1

1 X X X 0

X 1 X X 0 X X 1 X 0 X X X 1 0

医院病房呼叫器的设计_绝对经典

课程设计任务书 课程名称电子线路课程设计 课程设计题目医院病房呼叫器的设计 课程设计的容及要求: 一、设计说明与技术指标 1.用1~4个开关模拟4个病房的呼叫输入信号,1号优先级最高;1~4优先级依次降低; 2.用数码管显示呼叫信号的;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]:高等教育,2006年 2. 阎石,数字电子技术(第五版).[M]:高等教育,2005. 3. 孝彬《555集成电路实用电路集》高等教育2002-8 4. 王刚《TTL集成电路应用》机械工业2000-10 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 年月日一、概述

本设计的主要目的是实现一个当医院病房发生紧急情况时,病房之中又有多个病人需要护理的一个优先级别的电路。用于医院病房需要呼叫具有优先级别的呼叫系统。当有病人进行呼叫时,系统会自动先处理具有优先级别的病房的编号,同时产生光信号和5秒钟的声音信号。使用该系统,不仅能够提高医生的工作效率,便于医生及时了解病人的实际状况,还能够让病人的需要及时得到满足。 二、方案论证 根据设计要求,将此设计分为几个模块来设计,分别为:指示灯显示模块,优先显示模块,报警模块。首先用四个开关来代替四个病房的呼叫按钮,四个二极管灯代表四个病房,当开关闭合后,对应的病房的灯发光,然后利用与非门74LS30的功能,当其输出为高电平时,就会使NE555芯片产生脉冲信号,然后使NE555芯片的out输出端产生高电平,促使报警器报警,根据NE555芯片的外接电阻和电容的大小,可调整报警器的报警时间。当开关断开时,即74LS30输出为低电平,所以NE555芯片没有被触发,其out输出端为低电平,报警器没有报警,也就是病房没有病人呼叫,一切正常。开关闭合后,将经过存储的信号送入优先编码器74HC148,根据优先编码器的优先选择功能选出优先级最高的呼叫信号,再通过译码器74LS48译码,最后通过数码管显示报警的病房,然后医生会及时的根据报警情况去查看病人。此方案的论证流程图如图2.1。 图1 病房呼叫系统电路的原理框图 三、单元电路设计 1、指示灯显示模块

基于89s51单片机的无线病房呼叫系统设计大学毕设论文

基于89S51单片机的无线病房呼叫系统软件设计 第1章单片机与 AT89S51芯片概述 1.1单片机简介 单片机全称为单片微型计算机(Single Chip Microcomputer),又称为微控制器(Micro controller Unit)或嵌入式控制器(Embedded Controller)。它是将计算机的基本部件微型化并集成到一块芯片上的微型计算机,通常片内都含有CPU、ROM、RAM、并行I/O、串行I/O、定时器/计数器、中断控制、系统时钟及系统总线等。随着技术的发展,单片机片内集成的功能越来越强大,并朝着片上系统方向发展。 单片机有着体积小、功耗低、功能强、性能价格比高、易于推广应用等显著优点,在自动化装置、智能仪器仪表、过程控制、通信、家用电器等许多领域得到日益广泛的应用。 1.2AT89S51介绍 如图1-1为AT89S51引脚图 图1-1 AT89S51引脚图

AT89S51是一个低功耗,高性能CMOS 8位单片机,片内含4k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S51可为许多嵌入式控制应用系统提供性价比高的解决方案。 AT89S51具有如下特点:40个引脚,4k Bytes Flash片内程序存储器,128 bytes 的随机存取数据存储器(RAM),32个外部双向输入/输出(I/O)口,5个中断优先级2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(WDT)电路,片内时钟振荡器。 此外,AT89S51设计和配置了振荡频率可为0Hz并可通过软件设置省电模式。空闲模式下,CPU暂停工作,而RAM定时计数器,串行口,外中断系统可继续工作,掉电模式冻结振荡器而保存RAM的数据,停止芯片其它功能直至外中断激活或硬件复位。同时该芯片还具有PDIP、TQFP和PLCC等三种封装形式,以适应不同产品的需求。 (1)主要功能特性: ? 兼容MCS-51指? 令系统 ? 4k可反复? 擦写(>1000次)ISP Flash ROM ? 32个双向I/O口 ? 4.5-5.5V工作电压 ? 2个16位可编程定时/计数器 ? 时钟频率0-33MHz ? 全双工UART串行中断口线 ? 128x8bit内部RAM ? 2个外部中断源 ? 低功耗空闲和省电模式 ? 中断唤醒省电模式 ? 3级加密位 ? 看门狗(WDT)电路

病房呼叫系统方案

呼叫系统方案 主机与病员一览表合二为一,并设有万年历、呼叫床位指示灯和病房床位数码显示窗口,外壳超薄型设计,造型特别精巧,可壁挂、桌面任意放置;分机采用最新编码电路编号,变更床号只需更换编码芯片即可,外壳采用了全塑外扣式设计,适用于任何形式的安装配套;分机为内压线式接线,实现了房间内无断线的连接。使用、安装、维护更安全更方便。: 1、该医院呼叫系统双向呼叫、双功通话:分机可呼叫主机,主机也可呼叫分机,送话受话无需转换。 2、主机多功能显示:主机可显示万年历,护理等级,呼叫床号、序号等。 3、三级护理设置:可根据病员病情任意设置高、中、低级护理床位,并在主机上有不同颜色的灯显示。 4、高级优先:高级病员的呼叫可以中断低级病员的通话。 5、话筒统播:可通过主话机作下通知、找人等统播。 6、宣教广播:可接入录音机等信号源作宣传教育广播。 7、主机免提:分机呼入时主机可免提与分机对讲。 8、无中断呼叫:无论在通话、广播等状态只要有呼叫均能呼入并给出显示。 9、呼叫存储:分机呼叫而主机无人接时主机将该分机号存储。 10、走廊显示屏:可显示呼叫分机号、存贮分机号和呼叫序号;无呼叫存贮时显示时间。 11、与计算机连网:由计算机将病员的呼叫时间、呼叫次数、处理时间、超时处理等进行统计,为考核服务质量提供技术上的保证。 12、故障自检报警:当系统出现故障时主机显示窗口及显示屏均能给出数字显示,并伴有声响报警提示: 1、主机最大分机容量:50门、60门 2、最长连线距离:800米 3、最大存储量:9个 4、电源:220V±10%、50Hz 5、工作方式:连续 6、功耗:<20W 7、总线电压:18V 8、工作条件:环境温度0~40℃相对湿度≤80% 医院呼叫系统使用方法: 1、分机呼叫主机:按一病房呼叫系统分机床头垂线呼叫按钮。分机上“叫通指示”灯点亮主机发出音乐振铃声,病员一览表相对应的卡片上有灯光闪烁,同时分机也伴有音乐振铃声。数码显示窗口上显示该分机号。在音乐振铃期间,护士摘起主话机即可与病员通话,通话结束,将主话机挂机,系统恢复待机状态。如果直到振铃结束,护士未摘主话机,主机将该分机号存贮,若想再与该分机通话,需按主机呼叫分机操作。 2、主机呼叫分机:摘起主话机按一下欲呼叫的床位对应的小按钮或是在主话机上键入两位分机号(1-9号应按01-09),被叫通的分机将发出“嘟”一声提示音表示已接通。如果所拨叫的分机不存在,听筒里将发出“嘀、嘀、嘀、嘀—”的声音作为提示,然后重新给出拨号

病房呼叫系统设计

毕业设计(论文) 题目:病房呼叫系统设计 院 (系):机电工程系 专业:电气自动化技术 姓名:马兆龙 学号: 58020220100138 指导教师:刘静 二〇一三年一月十六日

毕业设计(论文)任务书

毕业设计(论文)进度计划表

毕业设计(论文)中期检查记录表

病房呼叫系统是病人请求值班医生或护士进行诊断、护理的紧急呼叫工具,它主要用于协助医院病员在病床上方便地呼叫医务人员,可将病人的请求快速传送给值班医生或护士,是提高医院和病房护理水平的必备设备之一。医院的竞争越来越激烈,商业医院的生存是第一位的,提升档次和服务质量迫在眉睫,陪护问题一直是医患矛盾的主体,也是长期困扰卫生系统服务质量的大问题,使用病房呼叫系统,方便病人更快找到医生,以节约病人的宝贵时间。 系统是基于51系列的单片机设计的病床呼叫系统。该系统以AT89C51单片机为核心辅以矩阵键盘、LED显示电路和部分简单模拟和数字电路组成的能够实现病人和医护人员之间信息的传递。每个病人要呼叫可以按键,同时会有蜂鸣器响,不同的数码管显示床位号,相应的指示灯亮。这里主要矩阵键盘输入信号,这是编程的关键。 在该设计中每个病房都有一个按键,当患者有需要时,按下按键,此时值班室的显示屏可显示此患者的床位号,多人使用时可实现循环显示,医护人员按下“响应”键取消当前呼叫。此系统能够为医院提供一个成本低、效率高、操作方便和易于安装维护的快捷系统。 关键词:AT89C51 矩阵键盘 LED 病床呼叫

Ward call system is the patient's request to the doctor on duty or nurse emergency call tools for diagnosis, care, and it is mainly used to assist the hospital patient in the bed easily call the medical staff, the patient's request can be quickly sent to the duty doctor or nurse is to improve one of the essential equipment of hospital and ward level of care.Increasingly fierce competition in the hospital, commercial hospital survival is the first to enhance the grade and quality of service is imminent,accompany the issue has been the main body of the patient conflict, but also long-troubled health system quality of service issues, using the ward call system more convenient for patients to find a doctor to save the valuable time of the patient. The system is a ward call system based on the 51 series micro-chip design. The sy-stem uses AT89C51 micro-controller as the core, supplemented by matrix keyboard, LED dot matrix display of a simple circuit and some analog and digital circuits to achieve the transfer of information between patients and health care. In this design,each ward has a button, when patients in need, press the button, the display of the duty room to display the number of beds in this patient, people use to achieve circular display, press the medical staff "response" to cancel the current call. This system can provide a low cost, high efficiency, easy operation and easy installation and maintenance system for the hospital. KEY WORD :AT89C51 matrix keyboard LED ward call

数电病房呼叫系统设计

病房呼叫系统设计 某医院有一、二、三、四号病室4间,每室设有呼叫按钮,同时在护士值班室内对应地装有一号、二号、三号、四号4个指示灯。 现要求当一号病室的按钮按下时,无论其它病室的按钮是否按下,只有一号灯亮。当一号病室的按钮没有按下而二号病室的按钮按下时,无论三、四号病室的按钮是否按下,只有二号灯亮。当一、二号病室的按钮都未按下而三号病室的按钮按下时,无论四号病室的按钮是否按下,只有三号灯亮。只有在一、二、三号病室的按钮均未按下而按下四号病室的按钮时,四号灯才亮。试用优先编码器74LS148和门电路设计满足上述控制要求的逻辑电路,给出控制四个指示灯状态的高、低电平信号。 一、实验目的: (1)、熟悉优先编码器的优先功能及应用。 (2)、掌握译码显示电路设计。 二、实验内容: 试用优先编码器74LS148芯片和必要的门电路设计一个病房呼叫系统。具体要求如下: (1)、共有一、二、三、四号病房,每个房间装有呼叫按钮。(2)、各病室的呼叫优先权不同,其中,一号病室的优先权最高,四号病室最低。 (3)、在护士值班室内有相应的显示电路,能看到当前呼叫病室的房间号。

三、实验原理: 优先编码74LS148的原理图如图1所示,其功能表如图2所示。 74LS00管脚图 74LS148管脚图

74LS148的功能表 四、实验器材: (1)、数字电路实验箱一台(2)、元器件 集成门电路:74LS00等若干 优先编码器74LS148芯片 1片 按键开关 4个 共阴极七段数码管 1位 蜂鸣器或扬声器 1个 LED指示灯 1个 电阻若干 五、求解:

解:输入变量:A1’,A2’,A3’,A4’;0:按下按钮,1:没有按下; 输出变量:Z1,Z2,Z3,Z4;1:灯亮,0:灯不亮; A1' A2' A3' A4'Y2 Y1 Y0 Y2' Y1' Y0'Z1 Z2 Z3 Z4 0 X X X 0 1 1 1 0 0 1 0 0 0 1 0 X X 0 1 0 1 0 1 0 1 0 0 1 1 0 X 0 0 1 1 1 0 0 0 1 0 1 1 1 0 0 0 0 1 1 1 0 0 0 1 由真值表写出: Z1=Y2'(Y1')'(Y0')'=Y2'Y1 Y0 Z2=Y2'(Y1')'Y0'=Y2'Y1 Y0' Z3=Y2'Y1'(Y0')'=Y2'Y1'Y0 Z4=Y2'Y1'Y0' 据此画出如图所示的电路连接图: 六、注意事项: 接线时要注意各芯片及数码管输入端的位权顺序。

数字电路课程设计病房呼叫系统

课程设计报告 题目:病房呼叫系统 起止日期:自2011年12月19日至2011年12月30日 信息科学与工程系 系(部) 学生姓名 09电子科学与技术 班级 学号 成绩 指导教师(签字) 2011 年 12 月 30 日

课程设计任务书 2011-2012学年第一学期 系(部)信息科学与工程系专业电子科学与技术班级 课程名称:数字电子技术 设计题目:病房呼叫系统 指导教师(签字):年月日教研室主任(签字):年月日

目录 1概述 (1) 2方案的选择与论证 (1) 3单元电路的设计和元器件的选择 (1) 4系统电路总图及原理 (5) 5经验体会 (7) 参考文献 (8) 附录A系统电路实物图 (9) 附录B元器件清单 (10)

1 概述 本次课程设计选择的题目是病房呼叫系统。类似系统在目前的医院里已经十分常见,几乎所有的病房里都配备的这样的紧急呼叫系统。这些系统虽然出自不同的生产商,但往往都具备这样一些共同点,如:当病人呼叫时都可产生声或者光等信号提示并显示病人的编号、根据病人的病情来设置显示的优先级别以确保病情最重的病人最先得到医治等等。这个系统是十分实用并且高效的,因而,这个课程设计题目是接近现实应用的,对于一个理工科的学生来说是有相当实际意义的,而且这个设计题目也是有趣的,是值得花时间甚至是大量时间反复推敲琢磨的。 2 方案的选择与论证 2.1方案一:使用5个jk触发器对k1-k5这5路信号进行锁存,这时使用的是轻触开关,编码器用74LS148优先编码器,报警部分是2个555定时器组成的发生器。 2.2 方案二:不使用jk触发器对k1-k5这5路信号进行锁存,直接使用拨动开关对信号进行控制,编码器用74LS148优先编码器加一个HD74LS04非门,报警部分是用1个555定时器发声。 74LS148优先编码器产生的是一个BCD反码,需要加非门来转换成BCD码.病房呼救系统使用轻触开关时必须配合有锁存功能的芯片,但是考虑到我们几天的实际仿真结果和掌握的材料,我们略去了锁存按键信号部分,直接使用了拨动开关。报警部分是555定时器组成的多谐振荡发声器。由于时间有限,我们最终确定选择了方案二。 3 单元电路的设计与原器件的选定 3.1各功能模块介绍 此电路分为四个模块:开关控制模块,指示灯模块,报警模块,病房显示模块。病房号码显示模块是由。。设计的。此模块无疑是本系统的核心模块,由它来实现优先显示功能。优先编码芯片选择了74LS148,数码管译码芯片选择了CD4511。优先编码芯片输入和输出均以低电平为有效信号,数码管译码器CD4511是用来驱动共阴极数码管的,因此选择了共阴极数码管。如果直接把优先编码器的输出接到数码管译码器的输入端,当没按键按下(无信号输入)时,编码器将全部输出

病房呼叫系统设计报告

病房呼叫系统设计报告 一、设计要求 (2) 二、设计的具体实现 (2) 1、系统概述 (2) 2、单元电路设计与分析 (4) 2.1 5秒呼叫模块 (4) 2.2 呼叫显示模块 (6) 2.3 优先显示模块 (7)

一、设计要求 此设计是用于医院病人的紧急呼叫,其设计要求如下: 1.当病人按下呼救信号按钮,呼救灯亮,同时显示病人编号,蜂鸣器发出5秒呼救声,等待医护人员来护理。 2.按照病人的病情划分出优先级别,有多个病人同时呼救时,系统优先显示最高级别的呼救编号。 3.当医护人员处理完最高级别呼救后,按下清零键,系统按优先等级先后显示其他病人编号。 二、设计的具体实现 1.系统概述 本设计的指导思想是设计一个当病人紧急呼叫时,产生声光提示,并显示病人编号;然后根据病人病情进行优先级别设置,当有多人呼叫时,病情严重优先;医护人员处理完当前最高级别的呼叫后,清除已处理的最高级别的呼叫信号,系统按优先级别显示其他呼叫病人的编号。由呼叫信号的锁存,CD4532优先编码,由744511译码显示和逻辑控制清除几部分构成,其核心在CD4532优先编码器。 方案:病房呼叫系统的逻辑门电路如图1.1所示。它由模拟开关、优先编码器、锁存器、数码管、逻辑门、信号灯、单稳态触发器、蜂鸣器组成。模拟病房号通过优先编码器显示优先级最高的病床号。并且通过锁存器储存起来,按R键将清除已处理的信号。 病房呼叫系统的逻辑方框图

由上述图文说明可看出此方案能够对最优先级别的呼叫信号进行处理,编码和译码,最后显示出来。在完成最优先级呼叫信号的处理之后,可以通过医护人员手动复位,从而对其他信号的处理。 工作原理:用D锁存器锁存,再用一个8线-3线优先编码器4532对病房号编码,再用译码器4511译出最高级的病房号。当有病房号呼叫时,通过译码器和逻辑门触发(由555构成的单稳触发器)从而控制蜂鸣器发出5秒钟的呼叫声。呼叫信号控制晶闸管从而控制病房报警灯的关亮。若有多个病房同时呼叫,待医护人员处置好最高级的病房后,由人工将系统的复位(手动)。 工作流程图

无线病房呼叫系统现状与前景

无线病房呼叫系统现状与前景 1 无线病房呼叫系统现状 随着人们对医疗设施及服务要求的提高,为解决护理站护士到病室内进行治疗护理时,听不到其他病室患者呼叫的蜂呜声,而造成危急患者得不到及时抢救的问题。医用无线呼叫系统,通过无线信号发射模块发出呼叫信号传输至信息处理中心,处理后发送至大屏幕显示板,显示呼叫病房、床号,同时发出音乐提示音,使医护人员及时、准确得到病患者呼叫信号并迅速处理;同时,当病人寻呼护士站一定时间没有响应时,系统将自动寻呼医生或者护士,并引入呼叫监督系统,从而保证患者的及时治疗,提高医院的治疗水平及管理水平,并具有广泛推广性。 传统的病房呼叫系统采用的都是有线传输,很难做到隐蔽和美观,而且布线复杂,布线费用较高,易出故障,安装维护都不方便,抗电气干扰能力也不强,目前医院使用的病房呼叫系统多为有线呼叫系统。本文介绍的无线呼叫系统没有上述缺点, 安装方便, 成本低, 使用简单。在人们生活水平的日益提高,特别是健康,在人们生活中的地位越来越重要的前提下,人生病时对治疗的服务要求也今非昔比,现代化的医疗设施是医院必不可少的硬件设备,医护人员与患者之间的联系也不应再是传统的方式,因此呼叫系统应运而生。 病房呼叫系统是在为提高医院管理水平和服务水平的辅助设施,该系统需要24小时连续工作,要求故障率要低,性能要稳定,质量要可靠,目前国内厂家“亚华”牌呼叫做的很不错,具有静电保护和雷电保护。 2 无线病房呼叫系统前景 呼叫系统是医院的必备设备,为方便患者和医护人员的及时联系,提高医疗服务质量,起着极其重要的作用。传统有线呼叫系统具有施工繁琐、检修困难、施工成本高且移动不灵活等缺点,特别是那些在走廊上的加床,根本无法实现呼叫功能。此外,有线系统没有移动接收呼叫信息的功能,对于紧急事件,可能因处理不及时而使很多病人丧失了抢救的良机,导致医疗纠纷,所以局限性很大。随着医院医疗条件的不断提高,越来越多的医院采用无线呼叫系统,它不仅施工和维护简便、呼叫操作简单,而且医护人员可随时随地接收病人的呼叫信息,及时处理各种突发事件,减少医患摩擦在医疗纠纷发生以后,可以提供客观依据。呼叫信息、应答记录的可查阅性还为医院对纪律涣散、擅自脱岗的医护人员的处分提供客观依据,便于医院的人员管理。当病患需要帮助时,按下床头无线呼叫器(或卫生间无线呼叫器),此时呼叫器内的无线信号发射模块发出呼叫信号到信息处理中心,信息处理中心处理后将信号发送至走廊大屏幕显示板,显示该病患的所在病床号(或病房号),同时护理站主控面板上的喇叭发出声音,LED 闪

病房呼叫系统

病房呼叫系统 实验报告 专业:电气与电子信息工程 班级:电气英才1301班 姓名:刘苗薛鹏飞 学号:1304080275 1304080046 2015年5月15日

武汉轻工大学 摘要 随着科技的发展,数字技术被越来越广泛地应用在各个领域,极大地提高工作效率,减轻了工作负担。在医院里,为了给病人提供更多更好更快的服务,及时了解病人的需求,病房呼叫器便应运而生了。本设计中,要实现一个具有优先级别的病房呼叫系统。这里,最核心的技术是,先通过一个74LS148对输入进行编码,再用锁存器74HC573进行锁存,再接入数码管译码器74LS48进行译码,最终显示到数码管上。报警闪烁和报警声分别用2个555电路产生的方波驱动实现。用锁存住的呼叫信号同时触发这2个555发生电路,其中报警闪烁方波频率可设为1hz,报警声音频方波频率可设为128hz。报警闪烁方波信号接到数码管的COM端(阴极)控制数码管的闪烁;报警声音频方波经放大后接到蜂鸣器上。当清除键按下时,呼叫信号被清除,2个555均停止工作。 关键字:74HC573、74LS148、74LS48、NE555、蜂鸣器

武汉轻工大学 目录 摘要 (1) 目录 (2) 1设计任务与要求 (2) 1.1设计任务 (2) 1.2设计要求 (3) 2方案设计 (3) 3电路与参数的计算 (3) 3.1总体结构框图 (3) 3.2按键模块 (4) 3.3显示模块 (5) 3.3报警模块 (6) 3.4电源模块 (6) 3.5复位模块 (7) 4整体电路图 (8) 5 系统调试与测试 (8) 5.1主控制电路的测试与调整 (8) 5.2显示电路的调整 (8) 5.3指示灯电路的测试与调整 (9) 6 设计总结 (9) 1设计任务与要求 1.1设计任务 通过实际设计制作一个病房呼叫系统,学习掌握电子系统设计的基本流程;了解

病房呼叫系统-课程设计

I 数字电路课程设计题目:病房呼叫系统设计 院系:工学院电气与电子工程系 专业:电气工程及其自动化 班级: 姓名: 学号: 指导教师: 烟台南山学院教务处 二〇一七年六月

病房呼叫系统设计 摘要 随着现在医疗机构的发展,病房呼叫系统成为每个医院必须的设备。本次设计完成的是病房呼叫系统。该设备含有优先级,监控设备放置在医生值班时内,当病床有请求呼叫时指示灯进行提醒,并显示几号床位。而当多个请求同时呼叫时,优先级高的病床会显示,并且蜂鸣器连接的计算机扬声器会发声本设计主要四个模块:呼叫模块、优先选择模块、译码显示模块。其中运用了74HC148来实现优先选择模块的主要功能 关键词病房呼叫系统优先级模块

Ward call system design Abstract With the development of medical institutions, the ward call system becomes a necessary device for every hospital.This design completes the ward call system. The device has a priority, the monitoring device is placed in the doctor's watch, and when the bed has a request call, the light is alerted and a number of beds are displayed. And when multiple requests are called at the same time, a high-priority bed will be displayed, and a computer speaker connected to the buzzer will speak. The main four modules of this design: call module, priority selection module, decoder display module. It USES 74HC148 to implement the main function of the preference module. Keywords Ward call systempriority modules

数电课程设计-病房呼叫系统

毕业设计(数电知识实现) 班级:生物工程;姓名:康静;学号07221031 设计题目:病房呼叫系统 一、题目及要求 某医院有一、二、三、四号病室,每个房间装有呼叫按钮,同时在护士值班室内有相应的显示电路,即能看到是哪个病室呼叫。 现要求:一号病室的呼叫优先权最高,四号病室最低,试用74LS148和门电路设计满足上述要求的组合逻辑电路,并要求设计出显示单元电路,即显示病室房间号。 二、功能描述: 1、呼叫功能:四个病室每个病室都装有一个呼叫按钮,当病室有需要时,可以通过呼叫按钮进行呼叫 2、显示功能:在护士值班室内有相应的显示电路,可以看到是哪个病室在呼叫 3、优先权:四个病室呼叫具有优先权,其中一号病室优先权最高,四号病室优先权最低。只要有一号病室呼叫时,不管其他三个病室是否呼叫,显示电路均显示一号病室;一号病室不呼叫时,只要有二号病室呼叫,不管三号、四号是否呼叫,显示电路均显示二号病室……依次类推。优先权的功能是由74LS148芯片实现的。 二、方案设计: 1.总体设计思路(含电路原理框图):

设计思路: 以四号病室呼叫为例: 当只有四号病室呼叫时,要使显示单元电路显示“4”,则74LS47芯片二进制输入DCBA应为0100,即74LS148芯片输出A2A1A0为100(其中74LS47的D端可以由74LS148的GS端来控制),由74LS148真值表可知,其输入信号01234567应为***01111,即四号病室呼叫按钮应该接在74LS148输入端的“3”管脚。 同理,三号、二号、一号病室呼叫按钮应分别接在74LS148输入端的“4”、“5”、“6”管脚,:“7”输入端应始终接高电平。 而当四个病室都不呼叫时,数码管应不显示数字,此时DCBA为1111,D 由GS控制,即GS、A0、A1、A2都为高电平,要达到这样的要求,须使74LS148的“012”均接高电平。 为保证芯片正常工作,74LS148的E1、GND均接地,74LS47的LT’、BI\RBO’、RBI’接高电平,GND接低电平。 电路的原理框图如下图所示:

病房呼叫系统的PLC设计-毕业设计

病房呼叫系统的PLC设计 目录 一、概述 (2) 1、可编程控制器简介 (2) 2、可编程控制器的发展 (2) 3、可编程序控制器的基本结构及工作原理 (2) 二、课题背景 (3) 1、课题背景 (3) 2、研究的目的和意义 (3) 三、已知情况、控制要求、设计任务 (4) 1、已知情况 (4) 2、控制要求 (4) 3、设计任务 (4) 四、总体设计思路 (5) 五、程序设计与调试 (5) 1、I/O分配 (5) 2、实现功能图 (8) 3、外部接线图 (8) 4、梯形图 (12) 5指令表 (14) 6、运行及调试 (18) 六、课程设计总结 (19) 七、致谢 (20)

八、参考文献 (20) 摘要 在现代医院的医疗程序管理当中,拥有先进及现代化的病床呼叫中心系统能够更好地去完善社会上各家医院的医疗服务体系,从而提升医院的名誉、地位。在以往的医疗进程管理体系里,当发生紧急事故或者需要呼叫护士的服务时,病人可能会因为继电器机械腐蚀的原因而无法有效及时地向候命的护士发出求助信号,从而导致一些不可挽回的医疗事故。在本次机电综合课程设计中,我对医疗建设中的病床呼叫系统进行了基于可编程控制器的改良系统设计。众所周知,可编程序控制器,也就是PLC,具有响应速度快,控制简单,易于编程等优点。利用这些优点,将PLC控制系统融入病床呼叫控制系统的设计,就能够大大提升护士处理病人需求、处理紧急病情的效率,同时减少医疗事故发生的几率。由于每一张病床的控制流程都是相同的,所以在进行系统程序设计的时候,只需要设计出一个响应模式,其他的病床只要套用这个模式去编写程序了。 关键词:医疗病床呼叫可编程控制器

05病房呼叫系统方案

目录 病房呼叫系统 (1) 1系统概述 (1) 2需求分析 (2) 3设计依据与设计原则 (2) 4系统设计说明 (3) 4.1 系统的基本组成 (3) 4.2 系统的基本功能 (3) 4.3 系统点位设置 (4) 5系统主要设备介绍 (5) 5.1 三十路对讲主机(型号:NBW-30) (5) 5.2 三十路病员一览表(型号:NBW-30P) (5) 5.3 嵌入式分机(型号:NBW-A) (6) 5.4 防水报警开关(型号:NBW-AN) (6) 5.5 输液报警器(型号:NBW-G) (6) 5.6 外配电源(型号:NBW-B-05) (7) 5.7 小门灯(型号:NBW-M) (7) 5.8 无线发射机(型号:NBW-T) (7) 5.9 无线接收机(型号:NBW-R) (8)

病房呼叫系统 1系统概述 对讲-医院护理对讲系统(双工、两芯总线制)主要用在:医院、疗养院、敬老院等需要护理对讲的场所。 社会不断的进步。物质生活和精神生活越来越丰富多彩。健康快乐的生活是每一个人的愿望。但疾病一直以来伴随着人类。每个人或多或少的会生病。就要看病、打针、吃药、住院。医院也不断地完善着医护人员医技、服务质量和医疗设备。以服务于大众。提高人们的生活质量。真正做到有病医得起、看得好。创造出一个和谐的社会。 在医疗设备方面。医护对讲系统是医院不可或缺的通信设备。医院护理对讲系统采用两芯总线制系统架构。方便施工。根据不同的机型每条总线可并接30或60台分机。分机之间是平级关系。在总线上发送数字脉冲地址码。以便于被主机识别。主机提供485通信接口。可以连接射频发射机、显示屏、监控统计集线器。医护人员在巡视过程中。可以利用携带的射频接收机接受射频发射机传来的数据。以确定病床呼叫。走廊显示屏提供警示作用。能够显示呼叫分机号码便于医护人员查看。系统每日的通信信息可以通过监控统计集线器接入计算机。进行数据处理。可以表格形式打印。以便管理人员查询。输液报警器是医护对讲系统中的新产品。配合分机使用。输液管夹装在其中。当输液完毕时有“Bi、Bi”报警提示声,输液报警器同时自动阻断输液管。并通过分机向护士站的主机发送输液完毕报警信号。单独使用时,需另配电源。为了医院管理的方便。系统主机提供并接功能。最多可实现四级管理。 医院护理对讲系统电路设计合理、工作稳定、维护率低。是医院理想的通信设备。系统包括有多种容量的对讲主机,如三十路对讲主机、三十路病员一览表、六十路对讲主机、六十路病员一览表,超薄分机、嵌入式分机、防水开关、输液报警器、外配电源、小门灯、点阵双面走廊显示屏、无线发射机、无线接收机、监控统计集线盒、监控统计软件等构成。

病房呼叫系统实验报告

课程设计 课程名称电子技术综合设计与实践题目名称病房呼叫系统 学生学院自动化学院 专业班级 学号 学生姓名 指导教师 2011年月日

****大学课程设计任务书 题目名称病房呼叫系统 学生学院自动化学院 专业班级 姓名 学号 一、课程设计的内容 设计一个病房呼叫系统。 二、课程设计的要求与数据 假设某医院有7个病房房间,每间病房室内设有呼叫开关,同时在护士值班室设有一个数码显示管,可对应显示病室的呼叫号码。 设计要求包括: 1. 用7个开关分别模拟7个病房的呼叫输入信号,开关打上去表示有呼叫,否则无呼叫; 2. 当有呼叫时,用1个数码管显示呼叫信号源的编号(编号为1~7);没有呼叫信号时数码 管无显示。 3. 当有多个信号源呼叫时,用一个闪烁的指示灯指明多个呼叫源在同时呼叫,并由数码管 依次显示多个呼叫源号码。 三、课程设计应完成的工作 1. 利用各种电子器件设计病房呼叫系统; 2. 利用DE2板对所设计的电路进行验证; 3. 总结电路设计结果,撰写课程设计报告。 四、课程设计进程安排

五、应收集的资料及主要参考文献 1、应收集的资料:Quartus Ⅱ软件的使用方法与技巧; 相关门电路、触发器和芯片的功能及使用方法; 2、主要参考文献:《数字逻辑》 发出任务书日期:2011年月日指导教师签名: 计划完成日期: 2011年月日基层教学单位责任人章: 主管院长签章:

摘要 随着科技的发展,数字技术被越来越广泛地应用在各个领域,极大地提高了工作效率,减轻了工作负担。在医院里,为了给病人提供更好更快的服务,及时了解病人需求,病房呼叫便应运而生了。本设计中,要实现一个具有优先级别的病房呼叫系统。这里,最核心的技术,是先通过一个74193器件进行扫描,加上触发器对输入进行锁存,然后通过一个选通电路,再经过74148优先编码器及7447译码器实现对呼叫病房的数字显示。当有两个以上的病房进行呼叫时,通过相应的门电路及脉冲实现闪烁提示。 关键词:74193扫描、锁存、选通、优先编码 目录 1 设计任务目的与要求 (5) 1.1XXXXXXXX.........................................................................................................................................错误!未定义书签。 2 设计方案 (5) 2.1XXXXXXXX.........................................................................................................................................错误!未定义书签。 3 方案实现 (2) 3.1XXXXXXXX (2) 4 实验结果 (4) 4.1XXXXXXXX (4) 5 总结与问题讨论 (5) 5.1XXXXXXXX (5) 参考文献 (6) (每一部分具体分成几个小节由自己确定,此处只是示意; 请在正文中以“标题”形式修改和添加小节标题,然后更新此目录) 红色字体在提交课程设计报告时要全部删掉!!!

病房呼叫系统设计

《病房呼叫系统EDA》 设计报告 题目:病房呼叫系统设计 学院:电子信息与电气工程学院专业:电子信息工程 班级: 姓名: 学号:

目录 一、设计要求 (2) 二、病房呼叫系统设计背景及其研究意义 (2) 三、设计思路 (2) 四、基本原理 (3) 五、设计内容及步骤 (3) 六、对设计的体会与感想 (9) 七、参考文献 (10)

病房呼叫系统设计 一、设计要求 1、用1~6个开关模拟6个病房的呼叫输入信号,1号优先级最高;1~6优先级依次降低; 2、用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3、凡有呼叫发出5秒的呼叫声; 4、对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、病房呼叫系统设计背景及其研究意义 随着科学技术的发展,越来越多的科技设备应用到生活的方方面面,其中在医疗领域尤其多。病房呼叫系统这个设计就是应用于医院的一个简单的系统模型,其目的是为了方便医护人员更好地照顾病人,提高工作效率。病房呼叫系统的优先编码设置可以让相对更需要救助的病人第一时间得到救助,其蜂鸣器和数码管、等设置可以直观的提醒医护人员是否有病房呼叫及呼叫的病房号。 医院,在当代生活中已是必不可少,它在人们的生活中越来越扮演着重要角色,医院让我们的生活更加安心。医院的医学技术与科技设备齐全是人们生活健康的保障,而病房呼叫系统在医院病房更加必不可少,它关系的病人的安危,完善的病房呼叫系统让病人更加安心。 三、设计思路 本次课程设计的题目是病房呼叫系统,有六个病房,分别编号为1、2、3、4、5、6,其优先级依次降低。即当一号病房有信号输出的时候,即使其他病房有信号输出系统也不会响应。当二号病房有信号

病房呼叫系统

太原工业学院 20**-20**学年第一学期 实践环节名称:数字电子技术课程设计课外作业名称:病房呼叫系统 系部名称:电子工程系 专业: 班级学号: 姓名: 指导教师: 实践周次: 17 周 成绩:

一、课程设计思路 根据数字电路知识,利用优先编码器、显示译码器和555等电路元件实现各项功能。 1.1 设计题目 病房呼叫系统。 1.2 设计要求 用1~5各开关模拟5个病房的呼叫输入信号,1号优先级最高;1~5优先级依次降低;用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示);凡有呼叫发出5秒的呼叫声。1.3 设计想法: 1.3.1整体设计思路 根据设计要求,我们将设计分为几个模块来设计,分别为:选优模块(对病房选优)、显示模块、蜂鸣模块。 1.3.2整体设计流程 (1)用5个发光二极管对应5个病房。 (2)将经过存储的信号送入优先编码器74148,选出优先级最高的呼叫信号,再通过显示译码器显示。 (3)用555构成多谐震荡电路,形成呼叫。 二、课程设计过程 2.1 模块分配 指示灯显示模块、优先显示模块。呼叫模块。 2.2 模块具体设计 (1)指示灯显示模块(LIGHT) 将病房呼叫信号输入到发光二极管中,使发光二极管发光。如图(1):

图(1) 图(1)中的五个开关分别对应着五个病房,又由于74ls148是低电平有效,所以在这指示灯模块中使用了图中所示方法输入信号。所接电阻都为限流电阻。 (2)优先显示模块 由设计要求,数码管要显示优先级最高的病房的呼叫信号,所以我们须得对病房呼叫信号进行选优。出于设计考虑,优先级最高为5,然后为4,依次到1。如图(2)所示: 图(2) 此电路由模拟开关、优先编码器74ls148,译码器74ls48、非门74ls04和数码管等组成。模拟开关初始状态为全高电平。将模拟开关的所有输入端,EI接高电平Vcc.74ls148的输出A0、A1、A2分别接入译码器74LS48的A,B,C;D接地。译码器74LS48的输出A---G对应接共阴数码管的a---g。 优先编码器74LS148的功能表如图(3)所示:

病房呼叫系统报告

目录 引言 (1) 1.系统的设计要求 (1) 2.设计思路及方案 (1) 3.设计过程 (2) 3.1 设计总图 (2) 3.2 优先编码和数据清零模块.................... 错误!未定义书签。 3.3 模五计数器以及灯闪烁五秒的模拟 (4) 3.4 显示病房号功能 (4) 4.程序设计......................................... 错误!未定义书签。 4.1 非门源代码................................ 错误!未定义书签。 4.2 2输入与非门源代码......................... 错误!未定义书签。 4.3 2输入与门源代码 (5) 4.4 优先编码器74148源代码 (6) 4.5 7447数码显示源代码........................ 错误!未定义书签。 4.6 D触发器源代码............................. 错误!未定义书签。 5.仿真结果......................................... 错误!未定义书签。 6. 总结............................................ 错误!未定义书签。 7.参考文献......................................... 错误!未定义书签。

引言 本设计是为在病人紧急需要时能很快进行救治的呼叫系统,增强医护人员更好的监护病人。此系统的优点特色在于可以设立呼叫优先等级而不是单纯的病人呼叫,这样避免在有多个病人同时呼叫时,医护人员不知道应该先救治哪个。 同时我们可以全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 1.系统的设计要求 1.用5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1到5优先级依次降低; 2.用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 2.设计思路及方案 用74148优先编码器来实现病房呼叫的优先显示,用7447七段字形译码器接半导体数码管来用数字显示最高优先级的病房号,用计数器74193来实现模五的计数器,调节clock输入脉冲的频率来实现五秒的控制来实现闪烁五秒。再用D触发器来实现锁存和复位清零功能。

相关文档
最新文档