安徽建筑大学数电期末考试(试卷A)

安徽建筑大学数电期末考试(试卷A)
安徽建筑大学数电期末考试(试卷A)

安 徽 建 筑 大学 试 卷( A 卷) 第 1 页 共 6 页

( 2014—2015学年第2 学期 ) 适用年级专业:电气、自动化、测控专业

:学 生 不 得 在 草 稿 纸 上 答

题,答 题 不 得 超 出 框

( )3.下图所示施密特触发器电路中,它的回差电压等于多少

A.2v

B.5v

C.4v

D.3v

( )4.请判断以下哪个电路不是时序逻辑电路:

A.计数器

B.寄存器

C.数据比较器

D.触发器

( )5.某电路的输入波形 Ui 和输出波形Uo 如下图所示,则该电路为:

A.施密特触发器

B.反相器

C.单稳态触发器

D.JK 触发器 ( )6.已知逻辑函数 C B C A AB Y

'+'+= 与其相等的函数为:

A.AB

B. C A AB '+

C.C B AB '+

D.C AB +

( )7.下列触发器中上升沿触发的是( )。

A.主从RS 触发器;

B.JK 触发器;

C.T 触发器;

D.D 触发器 ( )8.下列几种A/D 转换器中,转换速度最快的是。 A.并行A/D 转换器 B.计数型A/D 转换器 C.逐次渐进型A/D 转换器 D.双积分A/D 转换器 ( )9.单稳态触发器的输出脉冲的宽度取决于( ) A .触发脉冲的宽度 B .触发脉冲的幅度 C .电路本身的电容、电阻的参数 D .电源电压的数值

( )10. 指出下列电路中能够把串行数据变成并行数据的电路是( )。

A .JK 触发器

B .3/8线译码器

C .移位寄存器

D .十进制计数器

三、逻辑函数化简及形式变换:(共15分,每题5分) 1.(代数法化简为最简与或式)CD ACD ABC C A F +++'='1

2.(卡诺图法化简逻辑函数)

υ

安徽建筑大学试卷( A 卷)第 3 页共 6 页考试课程:数字电子技术基础A 班级:学号:姓名:

注:学生不得在草稿纸上答题,答题不得超出框体。

)

13

,

12

,

11

,

10

,8,7(

)9,5,4,2,1,0(

)

,

,

,

(

2

d

m

D

C

B

A

F∑

+

=

3.C

B

AC'

+

=

Y化为与或非形式。

四、电路分析及电路应用:(共15分,每题5分)

1、写出如图1所示电路的真值表及最简逻辑表达式。

图 1

2.逻辑函数用两种器件实现如下逻辑函数(每题5分,共10分)

C

A

BC

ABC

C

B

A

F'

'

)

,

,

(+

+

=

(1)用3-8译码器及适当门电路实现。(5分)

(2)用“四选一”数据选择器及适当门电路实现。(5分)五、时序逻辑电路分析:(共20分,第1题12分,第2题8分)

1.分析下面电路的逻辑功。要求写出驱动方程、状态方程、输出方程、填写状态转换表、画状态转换图、判断电路能否自启动、并说明电路功能(12)

安徽建筑大学试卷( A 卷)第 5 页共 6 页

考试课程:数字电子技术基础A 班级:学号:姓名:注

稿

不得超出框体。2. 由555定时器构成的施密特触发器如图(a)所示,试求:

1、在图(b)中画出该电路的电压传输特性曲线(4分);

2、如果输入Ui为图(c)的波形,画出对应输出UO的波形。(4分)

+6V

o

5

i(V)

u o

(a) (b)

t

2V

u

u

(c)

六、设计题:(共10分,每题5分)

1.如图所示为利用74LS161的同步置数功能构成的计数器。

(1)当D3D2D1D0=0000时为几进制计数器?(2分)

(2)当D3D2D1D0=0001时为几进制计数器?(3分)

2.(5分)利用同步十进制计数器74LS160接成同步二十九进制计数器的线

路图(采用整体置零或置数方式)。可以附加控制电路。74LS160管脚图如下:

《数字电路》期末模拟试题及答案

- 1 - 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1=;Y 2 = ;Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____ c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

安徽大学期末试卷安徽大学数字电子技术考试试题A2010(定稿).doc

安徽大学2009—2010学年第二学期 《数字电子技术》考试试卷(A 卷) (闭卷 时间120分钟) 一、填空题(每空1分,共15分) 1、十进制数73.75的二进制数为__1001_001________,8421BCD 码为_01110011,01110101___________________ 2、当TTL 与非门的输入端悬空时相当于输入为 电平。 3、在数字电路中,不论哪一种逻辑门电路,其中的关键器件是MOS 管或BJT ,它们均可以作为_________器件。 4、时序逻辑电路在CP 脉冲作用下,由无效状态自动回到有效序列称为电路具有 _________。 5、TTL 反相器的输入级由BJT 构成,输出级采用推拉式结构,其目的是为了________________和增强带负载的能力。 6、当七段显示译码器的输出为高电平有效时,应选用共_____极数码管。 7、用4个触发器可以存储________位二进制数。 8、如果对键盘上108个符号进行二进制编码,则至少要______位二进制数码。 9、时序逻辑电路分为同步时序和_____________两大类。 10、几个集电极开路与非门(OC 门)输出端直接相连,配加负载电阻后实现_______功能。 11、表达式C B C B A F +=能否产生竞争冒险 (可能/不可能)。 12、表达式C AB F +=,用与非门实现的表达式是 。 13、一个四位串行数据,输入四位移位寄存器,时钟脉冲频率为1kHz ,经过 ms 可转换为4位并行数据输出。 14、多谐振荡器有 个稳定状态。 院/系 年级 专业 姓名 学号 答 题 勿 超 装 订 线 ------------------------------装----------------------------------- ----------订----------------------------------------线----------------------------------------

安徽大学数字电路试卷与答案06~07A

安徽大学20 06 —20 07 学年第 2 学期 《 脉冲与数字电路 》考试试题参考答案及评分标准(A) 一、单项选择题(每小题2分,共8分) 二、填空题(每小空分,共6分) 三、分析题(第1小题6分,第2小题10分,第3小题 10分,第4小题15分,共41分) 1. 解:555定时器构成的施密特触发器。 (2分) (6分) 2. 解:置入的数据322310,,1,0D Q D Q D D ====,1LD Q =。 (3分) 由此列出状态转移表。 (8分) 电路实现模9的计数分频。 (10分) 3. 解:(1)当0k =时,0(03)i i i B k B B i ⊕=⊕==,0i C = 一组数是3210A A A A ,另一组数是3210B B B B ,电路实现两组数的加法功能。 (4分) (2)当1k =时,1(0 3)i i i B k B B i ⊕=⊕== ,1i C = (6分) 一组数是3210A A A A ,另一组数是3210B B B B 取反加1,电路实现两组数的减法功能。 (10分) 4.解:驱动方程 132********,1 ,1,1 n n n n n J Q Q K J Q K Q Q J K ====== (3分) 状态转移方程和输出方程 输入 S 闭合 S 断开 A C V 1 V 2 V 1 V 2 0 0 0 0 1 1 1

11321[]n n n n Q Q Q Q CP +=?↓ 1212312[]n n n n n n Q Q Q Q Q Q CP +=+?↓ (7分) 1332[]n n Q Q Q +=?↓ 2 3n n Z Q Q = (11分) 状态转移表 电路为模7异步计数器,具有自启动功能。 (15分) 四、设计题(第1小题10分,第2小题15分,第3小题20分,共45分) 1. 解:CT74160是十进制计数器,共有10个计数状态。实现模8计数要跳过2个状态,因此数据输入端 接0010,满值输出取反作为置入控制信号LD 。(5分) (10分) 2. 解:状态转移表 (2分) 状态转移方程和输出方程

《数字电路》期末模拟考试试题及答案

数字电子电路模拟试题-2 一、填空题(共30分) 1. 三极管有NPN和PNP两种类型,当它工作在放大状态时,发射结____, 集电结______;NPN型三极管的基区是______型半导体,集电区和发射区是______型半导体。 2. 把高电压作为逻辑1,低电平作为逻辑0的赋值方法称作_______逻 辑赋值。一种电路若在正逻辑赋值时为与非门,则在负逻辑赋值时为________。 3. 四位二进制编码器有____个输入端;____个输出端。 4. 将十进制数287转换成二进制数是________;十六进制数是___ ____。 5. 根据触发器功能的不同,可将触发器分成四种,分别是____触发器、_ 6. 下图所示电路中, 7. Y 2 二、选择题(共 20分) 1. 当晶体三极管____时处于饱和状态。 A. 发射结和集电结均处于反向偏置 B. 发射结正向偏置,集电结反向偏置 C. 发射结和集电结均处于正向偏置

2. 在下列三个逻辑函数表达式中,____是最小项表达式。 A .B A B A )B ,A (Y += B. C B C B A BC A )C ,B ,A (Y ++= C. C AB ABC B C A C B A )D ,C ,B ,A (Y +++??= 3.用8421码表示的十进制数45,可以写成__________ A .45 B. [101101]BCD C. [01000101]BCD D. [101101]2 4.采用OC 门主要解决了_____ A .TTL 与非门不能相与的问题 B. TTL 与非门不能线与的问题 C. TTL 与非门不能相或的问题 5.已知某触发的特性表如下(A 、B 为触发器的输入)其输出信号的逻辑表达式为___ A . Q n+1 =A B. n n 1n Q A Q A Q +=+ C. n n 1n Q B Q A Q +=+ 三、化简下列逻辑函数,写出最简与或表达式:(共20分) 1. BC A C B A C B B A Y 1+?++= 2. Y 2=Σm (0,1,8,9,10,11) 3. Y 3见如下卡诺图

安徽建筑大学数电期末考试(试卷A).doc

总分—=四五六七八 阅卷 复核 安徽建筑大学试卷(A卷)第1页共4页 (2013—2014学年第2学期)适用年级专业:电气、自动化、测控专业 考试课程:数字电子技术基础A 班级:学号: __________________________ 姓名: 一、填空题:(每空1分,共20 注 . 学 生 不 得 在 草 稿 纸 上 答 题, 答 题 不 得 超 出 框 体1 .十进制数3. 625的二进制数和8421 BCD码分别为() () 2.三态门输出的三种状态分别为:()、()和(). 3.主从型JK触发器的特性方程. 4.用4个触发器可以存储()位二进制数. 5.逻辑函数Y = + C的两种标准形式分别为()、 和 (). 6.将2015个“1”异或起来得到的结果是()? 是脉冲的整形电路。 8.JK 触发器、当JK二10, Q*=(),JK二11 旦Q二0,则Q*= () 9.二进制负整数-1011011,反码表示为()补码表示为( ) 10.对500个符号进行二进制编码,则至少需要()位二进制数。 11.SR触发器的特性方程为(),( )。 12.如用OV表示逻辑1, -1OV表示逻辑0,这属于()逻 辑。 二、选择题:(每题2分,共20分) :Q _ 勺 CP Q - Q I I AB C D ()2单稳态触发器的输出脉冲的宽度取决于() A.触发脉冲的宽度 B.触发脉冲的幅度 C.电路本身的电容、电阻的参数 D.电源电压的数值 ()3.下图所示施密特触发器电路中,它的回差电压等于多少 A、2v B、5v C、4v D、3v ,I ----------- ZV 8 4 s—— 6 2 555 3 (1) 1 5 -L 1+4V ()4.请判断以下哪个电路不是时序逻辑电路: A、计数器 B、寄存器 C、数据比较器 D、触发器 ()5.某电路的输入波形Ui和输出波形赤如下图所示,贝IJ

数电期末试卷

天津理工大学考试试卷 2013~2014学年度第一学期 《高频电子线路》 期末考试 答案 课程代码: 0562010 试卷编号: 5-A 命题日期: 2013 年 11 月 5 日 答题时限: 120 分钟 考试形式:闭卷笔试 得分统计表: 大题号 总分 一 二 三 四 五 一、单项选择题(从4个备选答案中选择最适合的一项,每小题1分,共10分) 得分 1. 下图所示抽头式并联谐振回路中,接入系数为p ,则把电容C1折合到LC 回路两端后的值为 A 。 A 12C p B 11 2C p C 1pC D 11C p 2. 某丙类高频功率放大器原工作于在欠压状态,现欲调整使它工作在临界状态,可采用办法 B 。 A CC V 增加、 bm V 减小、 p R 减小

B C C V 减小、bm V 增加、p R 增加 C CC V 减小、 bm V 减小、p R 减小 D CC V 增加、 bm V 增加、 p R 增加 3. 给一个振荡器附加AFC 系统,是为了 D 。 A 尽量保持输出电平恒定; B 使振荡器的输出与参考信号完全同步(同频同相); C 使振荡器输出的频率与参考信号频率相等,但初相位相对于参考信号初相位有一定的剩余误差; D 使振荡频率比不加时稳定。 4. 为了保证调幅波的包络能够较好地反映调制信号, C 。 A 集电极被调功率放大器和基极被调功率放大器都应工作在欠压状态 B 它们都应工作在过压状态 C 集电极被调功率放大器应工作在过压状态,另一个则应工作在欠压状态 D 基极被调功率放大器应工作在过压状态,另一个则应工作在欠压状态 5. 下面属于非线性元件特性的是 C 。 A 只有直流电阻,且阻值随静态工作点的改变而改变 B 只有动态电阻,且阻值随静态工作点的改变而改变 C 具有频率变换的作用 D 满足叠加原理 6. 某一调谐放大器,假设输入信号的频率为2MHz 、5MHz 、10MHz ,12MHz ,当谐振回路的谐振频率为10MHz 时,频率为 C 的信号在输出信号中最强。 A 2MHz B 5MHz C 10MHz D 12MHz 7. 若调制信号的频率范围为n F F -1时,用来进行标准调幅,则形成已调波的带宽为 A 。 A n F 2 B ()12F F n - C 12F D ()n f F m 12+ 8. 多级单调谐回路谐振放大器与单级单调谐回路放大器比较,叙述正确的是 C 。

安徽大学离散数学(上)试卷及参考答案

安徽大学20 09 — 20 10 学年第 1 学期 《 离散数学 》考试试卷(A 卷) (时间120分钟) 院/系 专业 姓名 学号 一、单项选择题(每小题2分,共20分) 1. 设:P 天没下雪,:Q 我去镇上,则命题“天正在下雪,我没去镇上”可符号化为( ) A.Q P ?→?; B. P Q ?→?; C.Q P ?∧; D. Q P ?∧?。 2.下列命题是重言式的是( ) A.)()(P Q Q P →∧→; B. )()(Q P P Q P ???∧; C. )(Q P Q P →→∧; D. Q P R Q P ∧?∧?∨→))((。 3. 设解释R 如下:论域D 为实数集,0=a ,y x y x f -=),(,y x y x f <=),(。下列公式在R 下为真的是( ) A.))),(),,((),((z y f z x f A y x A z y x →???; B.)),,((a x a f xA ?; C.)),,((x y x f yA x ??; D.))),,((),((a a x f A y x A y x →??。 4. 对任意集合,,A B C ,下列结论正确的是( ) A. C A C B B A ???∧?][; B. C A C B B A ∈??∧∈][; C. C A C B B A ???∧∈][; D. C A C B B A ∈?∈∧?][。 5. 关于},,{c b a X =到}3,2,1{=Y 的函数{,1,,1,,3}f a b c =<><><>,下列结论不正确的是( ) A 、1 ({3}){}f c -=; B 、1(3)f c -=; C 、 ({}){3}f c =; D 、()3f c =。 6. 设I 为整数集合,则I 上的二元关系}4|||,{=-><=y x y x R 具有( ) A.自反性和对称性; B.反自反性和对称性; C.反自反性和传递性; D.反对称性和传递性。 7. 设R 为非空集合A 上的关系R 的逆关系,则下列结论不成立的是( ) A.若R 为偏序,则R 为偏序; B.若R 为拟序,则R 为拟序; C.若R 为线序,则R 为线序; D.若R 为良序,则R 为良序。

安徽建筑大学数电期末考试(试卷A)

安 徽 建 筑 大学 试 卷( A 卷) 第 1 页 共 6 页 ( 2014—2015学年第2 学期 ) 适用年级专业:电气、自动化、测控专业 注 :学 生 不 得 在 草 稿 纸 上 答 题,答 题 不 得 超 出 框

( )3.下图所示施密特触发器电路中,它的回差电压等于多少 A.2v B.5v C.4v D.3v ( )4.请判断以下哪个电路不是时序逻辑电路: A.计数器 B.寄存器 C.数据比较器 D.触发器 ( )5.某电路的输入波形 Ui 和输出波形Uo 如下图所示,则该电路为: A.施密特触发器 B.反相器 C.单稳态触发器 D.JK 触发器 ( )6.已知逻辑函数 C B C A AB Y '+'+= 与其相等的函数为: A.AB B. C A AB '+ C.C B AB '+ D.C AB + ( )7.下列触发器中上升沿触发的是( )。 A.主从RS 触发器; B.JK 触发器; C.T 触发器; D.D 触发器 ( )8.下列几种A/D 转换器中,转换速度最快的是。 A.并行A/D 转换器 B.计数型A/D 转换器 C.逐次渐进型A/D 转换器 D.双积分A/D 转换器 ( )9.单稳态触发器的输出脉冲的宽度取决于( ) A .触发脉冲的宽度 B .触发脉冲的幅度 C .电路本身的电容、电阻的参数 D .电源电压的数值 ( )10. 指出下列电路中能够把串行数据变成并行数据的电路是( )。 A .JK 触发器 B .3/8线译码器 C .移位寄存器 D .十进制计数器 三、逻辑函数化简及形式变换:(共15分,每题5分) 1.(代数法化简为最简与或式)CD ACD ABC C A F +++'='1 2.(卡诺图法化简逻辑函数) υ

安徽大学数电试卷

安徽大学2008—2009学年第二学期 《数字电子技术》考试试卷(A 卷) (闭卷 时间120分钟) 一、填空题(每空2分,共20分) 1、CMOS 逻辑门电路是目前应用最广泛的逻辑门电路。其优点是集成度高,_________________,扇出数大,噪声容限亦大,开关速度较高。 2、TTL 反相器的输入级由BJT 构成,输出级采用推拉式结构,其目的是为了________________和增强带负载的能力。 3、在数字电路中,不论哪一种逻辑门电路,其中的关键器件是MOS 管或BJT ,它们均可以作为___________器件。 4、逻辑门电路的主要技术参数有输入和输出高、低电平的最大值或最小值,传输延迟时间,功耗,________________,扇入数和扇出数。 5、锁存器和触发器都是具有存储功能的逻辑电路,是构成时序电路的基本单元,每个锁存器或触发器都能存储_______位二值信息。 6、触发器是对时钟脉冲____________敏感的电路。 7、当一个逻辑门的两个输入端的信号同时向相反方向变化,而变化的时间有差异的现象称为竞争,由竞争而可能产生输出干扰的现象称为___________。 8、在逻辑体制中有正、负逻辑的规定,正负逻辑可以等效变换,对于正逻辑的“与非”功能,变换成负逻辑相当于“______________”功能。 9、时序逻辑电路分为同步时序和_____________两大类。 10、同步时序电路的设计,首先根据逻辑功能的需求,导出原始状态图或原始状态表,有必要时需进行_______________,继而对状态进行编码,然后根据状态表导出激励方程组和输出方程组,最后画出逻辑图完成设计任务。 院/系 年级 专业 姓名 学号 答 题 勿 超 装 订 线 ------------------------------装------------------------------------ ---------订----------------------------------------线----------------------------------------

数电期末试卷及答案(共4套)

XX大学信息院《数字电子技术基础》 期终考试试题(110分钟)(第一套) 一、填空题:(每空1分,共15分) 1.逻辑函数Y AB C =+的两种标准形式分别为()、 ()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。 (6分) 七、图6所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM 中的数据见表1所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP信号频率之比。(16分) 表1:

安徽建筑大学教务处

附件2 毕业设计(论文)的工作总结提纲 一、本届毕业环节工作概况。 二、与往届相比,作了哪些改革的尝试,具体作法与效果如何? 三、本届毕业环节是否达到教学要求,对巩固学生的基础理论、专业知识,加强基本技能训练和计算机能力培养等方面效果如何? 四、在选题上有何改进?怎样注意从本专业的培养目标出发,在满足教学基本要求的情况下结合生产、科研实际情况?设计与科研课题的比例是多少? 五、怎样注意发挥学生的主动性与积极性,保护和支持学生的探索精神? 六、从毕业环节反映出我院本科教育教学质量如何?学生的基础理论、专业知识、工程实践能力、外语水平、计算机应用能力及独立工作能力如何?存在哪些薄弱环节?对今后教学改革有何建议? 七、本届毕业设计(论文)水平如何评价,有哪些突出成果?

附件3 《优秀毕业设计论文集》撰写规范 要求在《安徽建筑工业学院本专科毕业设计(论文)撰写规范》基础上进行精简,去掉“致谢”等不必要部分,具体要求如下: 1、内容 标题、署名、正文。其中署名必须注明系、专业、班级、学生姓名、指导教师姓名。 摘要(居行头):中文200-300字左右,英文摘要应与中文摘要内容完全相同。 关键字(居行头):3-5个词。 2、行文要求 每篇文稿3000—5000字左右,不超过六页(A4纸),要言简意赅,术语规范,论据充分,条理清楚,图表、公式、程序要安排紧凑。 3、字体 标题采用三号黑体字,署名采用五号宋体字,正文小标题采用小四号黑体字,正文采用小四号宋体字。 4、版面 ●页面设置为:标准A4纸设置 ●页眉设置为:居中,以小5号字宋体键入“安徽建筑工业学院优秀毕业设计(论文)”。 ●页脚设置为:插入页码,居中。格式可根据全文一次打印输出。 ●正文选择格式段落为:固定值,22磅,段前、段后均为0磅。标题可适当选择加宽, 如设置为:段前、段后均为3磅。格式所示如下: 5、打印 必须按规定格式用计算机打印,并附电子版。

数电期末试卷

数字电路考试试卷 一、填空 1.在三变量逻辑函数中,有m 5m 6= ,ΠM (0,1,2,3,4,5,6,7)= 。 2.十进制数78的二进制数是 ,八进制数是 ;十六进制数是 。 3.有一个六位D/A 转换器,设满刻度输出为6.3伏,当输入数字量为101001时,输出模拟电压为 。 4.ROM地址为A0~A77,输出为Y0~Y3,则ROM容量为 。 二.用卡诺图法化简下列函数为最简与或式。 1.F(A,B,C,D)=∑m(3,5,8,9,10,12)+∑d(0,1,2,13) 2.F(A,B,C,D)=(A+B+C+D )(A+B+C+D )(A+B+C+D )(B+C ) 三.某组合电路有3个输入逻辑变量A 、B 、C 和一个控制变量M 。当M=1 时,A 、B 、C 中有偶数个1,电路输出为1;当M=0时,A 、B 、C 中 有奇数个1,电路输出为1。 1.请列出真值表,写出输出函数的最简与或逻辑表达式; 2.用3-8译码器74LS138实现该电路。 四. 已知JK 触发器构成的电路如图所示,设Q 0,Q 1,Q 2初态为0,试画出在CP 作用下,Q 0、Q 1、Q 2的时序图。 五.作出下列两种情况下序列信号检测器的最简状态转换图,凡收到输入序列101时输出就为1。

1.规定检测的101序列不重叠; 2.允许检测的101序列重叠。 六.下图是由8选1数据选择器和同步4位二进制计数器74161构成的循环序列为1101001(左位在前)的序列信号发生器的部分连线图。 (1) 试完成该电路的连线; (2) 画出计数器的状态转换图 七.555定时器、计数器和集成施密特电路构成下图所示电路。 (1)说明电路各部分的功能。 (2)若集成施密特电路的V DD =10V ,R 1 = 100K Ω,C 1 = 0.01μF ,VT+=6.3V ,VT- =2.7V 求v 1端波形的周期T 。 (3)74161芯片进位端C 与其CP 端脉冲的分频比是多少? (4)若R = 30K Ω,C = 0.01μF ,求v O 端输出脉宽T W 是多少? (5)画出v 1 ,74161进位端C 和v O 的波形。 C 1μF v o

安徽大学2010-2011-2数电期末试题_B (2)

安徽大学20 10 —20 11 学年第 二 学期 《脉冲与数字电路》考试试卷( B 卷) (闭卷 时间120分钟) 考场登记表序号 1. 2. 3. 4. 5. 6. 7. ) 特性。 A. 延时和定时 B. 计数与寄存 C. 整形与变换 D. 滞后特性 二、填空题(每题2分,共10分) 1. Y AB A C =+,Y 的最小项表达式为 Y = 。 2. 逻辑函数F A B CD =++的反函数F = 。 院/系 年级 专业 姓名 学号 答 题 勿 超 装 订 线 ------------------------------装---------------------------------------------订----------------------------------------线----------------------------------------

3. 对于逻辑函数F AB AC BC =++,为了化简,利用逻辑代数的基本定理,可表示为F AB AC =+,但这可能引起 现象,因为在11B C ==,时,化简前逻辑函数的值恒为1,但化简后逻辑函数的值为A A +。 4. 一个5位二进制加法计数器,由00000开始,经过201个输入脉冲后,此计数器的状态为 。 5. 要构成32k×16位的RAM ,需要8片8k×8的RAM 芯片,并需有__________根地址线。 1. 2. (F

四、分析题(每题10分,共30分) 1. 写出下图所示电路中灯L 与开关A 、B 、C 的与或逻辑表达式。(设开关合上状态为1,断开状态为0;灯亮状态为1,灯灭状态为0). L 院/系 年级 专业 姓名 学号 答 题 勿 超 装 订 线 ------------------------------装---------------------------------------------订----------------------------------------线----------------------------------------

数字电子技术基础期末考试试卷及答案1[1]

填空题 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方 程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为 12 条、数据线为 8 条。二、选择题1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 2.下列几种TTL电路中, 输出端可实现线与功能的电路是( D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为( C)。

A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为( D)。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有( C)个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式 Y= + C +A D,约束条件:A C + A CD+AB=0 四、分析下列电路。(每题6分,共12分) 1、写出如图1所示电路的真值表及最简逻辑表达式。

安徽建筑大学线性代数期末试题4

一、单项选择题( 每小题 3分, 共 15分 ) 1、已知向量组()T 4,3,2,11=α,()T 5,4,3,22=α,()T 6,5,4,33=α,()T 7,6,5,44=α,则该向量组 的秩为 (A )1; (B )2; (C )3; (D )4. 2、设B A ,是n 阶方阵,则必有 (A )B A B A +=+; (B )BA AB = ; (C )BA AB =; (D )()111 ---+=+B A B A 3、设n 元齐次线性方程组0=AX 的系数矩阵A 的秩为r ,则0=AX 有非零解的充分必要条件是 (A )n r =; (B )n r <; (C )n r >; (D )n r ≥ 4、若21,αα是某非齐次线性方程组两个解向量,则 (A )21αα+是它的解向量 (B )21αα-是它的解向量 (C )21αα+是其对应齐次方程组的解向量 (D )21αα-是其对应齐次方程组的解向量 5、设A 为n ()2≥n 阶可逆矩阵,*A 为A 的伴随矩阵,则()=**A (A )A A n 1- (B )A A n 1+ (C )A A n 2- (D )A A n 2+ 二、填空题(每小题3分, 共15分) 1、已知???? ? ??=500040003A ,则=-1A 2、设()()()T T T t ,2,2,2,2,1,2,1,2===γβα线性相关,则t= . 3、设四阶方阵A 的4个特征值为3,1,1,2,则行列式=A 4、二次型()23 2221213212,,x x x x x x x x f -++-=的矩阵是 5、在线性空间3R 中给出两组基()()()T T T 1,0,1,0,1,0,0,0,1321===εεε;()T 1,0,21-=η ()()T T 1,1,2,2,2,132=-=ηη,则由基321,,εεε到基321,,ηηη过渡矩阵P = 三、(本题10分)计算行列式:=D 3 1111311 11311113

数字电路期末试卷

2015-2016年第一学年度 汕尾市职业技术学校电子线路期末考试(开卷) 班级___________ 姓名__________ 分数__________ 一选择题(本大题共十道小题,每小题2分) 1、模拟电路中晶体管大多工作于( )。 A.放大状态 B.截止状态 C.击穿状态 D.饱和状态 2、当逻辑函数有n个变量时,共有( )个变量取值组合? A. n B. 2n C. n2 D. 2n 3、十进制数25用8421BCD码表示为( )。 A、10 101 B、0010 0101 C、100101 D、100101 4、下列逻辑式中,正确的逻辑公式是( )。 A.A+B=A B B. A+B=A B + C. A+B=AB D. A+= 00 5、二输入端的与非门,其输入端为A、B,输出端为Y,则其表达式Y= ()。 A、AB B、AB C、B A+D、A+B 6、逻辑式A+BC=( )。 A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 7、辑电路如图示,其逻辑式为( )。 A.F=A+BC B、F=A B C ?+ C、F=A B C ++D、F=A·BC

1 1& ≥1 1F A B C 8、一个T触发器,在T=0时,加上时钟脉冲,则触发器()。 A、保持原态 B、置0 C、置1 D、翻转 9、欲对全班43个学生以二进制代码编码表示,最少需要二进制码的位数是 ()。 A、5 B、6 C、8 D、43 10、下列电路中,不属于组合逻辑电路的是( ) A.译码器B.全加器 C.寄存器 D.编码器 二填空题(本大题共十小题每小题2分) 1、数字信号的特点是在上和上都是断续变化的,其高电平和低电平 常用和来表示。 2、OC门的输出端可并联使用,实现________功能;三态门可用来实现 ______________。 3、(35)10 =()2 = ( )8421BCD 4、基本逻辑运算有________、________、________3种。 5、在RS、JK、T和D触发器中,_____触发器的逻辑功能最多。 6、组合电路由________________构成,它的输出只取决于_ ________________ 而与原状态无关。

安徽大学数字电路期末考试试题二

安徽大学数字电路期末考试试题二 一、填空题(30分每空2分) 1.二极管内含PN结,PN结在导电性能上最大特点是____________________________________________________. 2.TTL电路和CMOS电路相比较明显的特点是,工作速度上___________________________________________________,功耗上_________________________________________。 3.A/D转换是将模拟信号转换为数字信号,转换过程有_____________,_______________,_________________,__________. 4.要表达一个逻辑函数通常有_______________,_____________,________________,__________,_______________等常见的方法。 5.组合逻辑电路中容易产生竞争冒险,消除竞争冒险的方法有_________________,___________________,___________________。 二.画图题(10分) 1.画出如图所示理想二极管电路的输出电压波形(4分)

0 t 0 t 2.6分) u o t u o

三.计算题(10分) 1.将下列各数转换为二进制数 (48)10=()2 , (79)10=()2 (102)10=()2 2.将下列各数转换为十进制数 (11011001)2=()10,(1011011)2=()10 四.化间下列函数(15分) 1.Y=AB+ABD+A C+BC 2.Y(A,B,C,D)=∑m(2,3,6,7,14,15,11,10)+∑d(0,1) 3.Y=(A+B+C)(A+B+C)+C 五.设计分析题(35分) 1.分析下面电路的逻辑功。能要求写出驱动方程(3分)、状态方程(3分)、填写状态转换表(4分、)画状态转换图(2分)、功能总 结(1分)

安徽建筑大学 微机原理期末考试复习题

微机原理复习题2 1.CPU与外设间的信息传送控制方式有____________________、____________________和____________________三种。 2.I/O接口内部包括________________寄存器、________________寄存器和________________寄存器。 3.8086的中断类型包括________________和________________。(内部中断、外部中断) 33.8086的外部中断类型包括________________和________________。(非可屏蔽中断NMI、可屏蔽中断INTR) 4.________________、________________和中断返回等部分。 5.8086/8088微机系统采用向量中断的方式,内存空间中,地址为00000H~003FFH中存放着________________。类型码为________________的中断所对应的中断向量存放在0000H:0058H开始的4个连续单元中,若这4个单元的内容分别为________________、________________、________________、________________,则相应的中断服务程序入口地址为5060H:7080H。 6.中断控制器8259A有____________个命令字,占用____________个端口地址。(7、2) 37.用3片8259A级联,最多可管理________________级中断。 7、8086CPU响应中断时,自动压入堆栈的信息是________________、________________和________________。 8.若8259工作在自动循环方式下,当前IR3上的中断请求已执行并返回,则8个中断源中优先级最高的是________________。 9.8259A应用中,需对IR5,IR3进行屏蔽,操作命令字OCW1应写入________________。 10.CPU在指令的最后一个时钟周期检测INTR引脚,若测得INTR为________________且IF 为________________,则CPU在结束当前指令后响应中断请求。 11.从CPU的NMI引脚产生的中断叫做________________,它的响应不受________________的影响。 12.在8086/8088微机中,实现CPU关中断的指令是________________,实现开中断的指令是________________。 13.8259有两种中断触发方式________________和________________。 14.8255A是一个____________________芯片。

安徽大学历年物理期末试卷

安徽大学《普通物理》考试试卷 一、 选择题(共30分) 1.一质点在平面上作一般曲线运动,其瞬时速度为v ,瞬时速率为v , 某一时间内的平均速度为v ,平均速率为v ,它们之间的关系必定有 (A) v v v,v == . (B) v v v,v =≠ . (C) v v v,v ≠≠ . (D) v v v,v ≠= . [ ] 2.一质量为m 的滑块,由静止开始沿着1/4圆弧形光滑的木槽滑下.设木槽的质量也是m .槽的圆半径为R ,放在光滑水平 地面上,如图所示.则滑块离开槽时的速度是 (A) Rg 2. (B) Rg 2. (C) Rg . (D) Rg 2 1. (E) Rg 221. [ ] 3.在由两个物体组成的系统不受外力作用而发生非弹性碰撞的过程中,系统的 [ ] (A) 动能和动量都守恒. (B) 动能和动量都不守恒. (C) 动能不守恒,动量守恒. (D) 动能守恒,动量不守恒.

4.气体在状态变化过程中,可以保持体积不变或保持压强不变,这两种过程[] (A) 一定都是平衡过程. (B) 不一定是平衡过程. (C) 前者是平衡过程,后者不是平衡过程. (D) 后者是平衡过程,前者不是平衡过程. 5.某理想气体状态变化时,内能随体积的变化关系如图中 AB直线所示.A→B表示的过程是 (A) 等压过程.(B) 等体过程. (C) 等温过程.(D) 绝热过程.[] 6.在温度分别为327℃和27℃的高温热源和低温热源之间工作的热机,理 论上的最大效率为 (A) 25%.(B) 50% . (C) 75%.(D) 91.74%.[] 7.静电场中某点电势的数值等于 (A)试验电荷q0置于该点时具有的电势能. (B)单位试验电荷置于该点时具有的电势能. (C)单位正电荷置于该点时具有的电势能. (D)把单位正电荷从该点移到电势零点外力所作的功.[]8.两个半径相同的金属球,一为空心,一为实心,把两者各自孤立时的电容值加以比较,则 (A) 空心球电容值大.(B) 实心球电容值大. (C) 两球电容值相等.(D) 大小关系无法确定.[]

杭州电子科技大学数字电路期末考试试卷及答案

8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz C . 100KHz D .50KHz 13.给36个字符编码,至少需要____6______位二进制数。 19.T 触发器的特性方程是___n n Q T Q ⊕=+1_____,当T=1时,特性方程为___n n Q Q =+1_____,这时触发器可以用来作___2分频器_____。 20.构造一个十进制的异步加法计数器,需要多少个 __4____触发器。计数器的进位Cy 的频率与计数器时钟脉冲CP 的频率之间的关系是____1﹕10_________。 21.(本题满分6分)用卡诺图化简下列逻辑函数 ∑ =)15,14,13,12,10,9,8,2,1,0(),,,(m D C B A F 解:画出逻辑函数F 的卡诺图。得到 D B D A C B C A AB F ++++= 22. (本题满分8分)电路如图所示,D 触发器是正边沿触发器,图中给出了时钟CP 及输入K 的波形。 (1)试写出电路次态输出1+n Q 逻辑表达式。(2)画出Q Q ,的波形。

由出真值表写出逻辑函数表达式,并化简 )(B A C C A C B A BC A C B A C B A F ⊕+=++ += 画出逻辑电路图 四、综合应用题(每小题10分,共20分) 25.3-8译码器74LS138逻辑符号如图所示,S1、2S 、3S 为使能控制端。试用两片74LS138构成一个4-16译码器。要求画出连接图说明设计方案。 装 订

相关文档
最新文档