拉扎维教材模拟集成电路第三章课后习题的答案解析中文版(纯手写)

拉扎维教材模拟集成电路第三章课后习题的答案解析中文版(纯手写)
拉扎维教材模拟集成电路第三章课后习题的答案解析中文版(纯手写)

拉扎维教材第三章答案中文版(纯手写)

PART1

最近重新温习拉扎维,参考英文版答案顺便教材手动整理下教材课后习题,部分习题加入了一些自己的想法和备注。欢迎各位学弟学妹下载,不过请不要照抄答案!因为没有扫描仪器,用手机照的相片。这一部分是第三章作业的前一半的题目,也请大家尊重本人劳动成果,可以下载,但请不要随意下载后再上传,谢谢大家!

PART2

另外3.11题目可参考3.10

注明:如有错误之处欢迎指正。在我的百度账号下留言即可:清风一鹤。

PART3

答案照片在下面

↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓

模拟集成电路设计期末试卷

《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_ 较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来 表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输 出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制 沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为__ C F(1-A)__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS=V TH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

模拟集成电路复习

1、 研究模拟集成电路的重要性:(1)首先,MOSFET 的特征尺寸越来越小,本征速度越来 越快;(2)SOC 芯片发展的需求。 2、 模拟设计困难的原因:(1)模拟设计涉及到在速度、功耗、增益、精度、电源电压等多 种因素间进行折衷,而数字电路只需在速度和功耗之间折衷;(2)模拟电路对噪声、串扰和其它干扰比数字电路要敏感得多;(3)器件的二级效应对模拟电路的影响比数字电路要严重得多;(4)高性能模拟电路的设计很少能自动完成,而许多数字电路都是自动综合和布局的。 3、 鲁棒性就是系统的健壮性。它是在异常和危险情况下系统生存的关键。所谓“鲁棒性”, 是指控制系统在一定的参数摄动下,维持某些性能的特性。 4、 版图设计过程:设计规则检查(DRC )、电气规则检查(ERC )、一致性校验(LVS )、RC 分布参数提取 5、 MOS 管正常工作的基本条件是:所有衬源(B 、S )、衬漏(B 、D )pn 结必须反偏 6、 沟道为夹断条件: ?GD GS DS T DS GS TH H V =V -≤V V V -V ≥V 7、 (1)截止区:Id=0;Vgs

《模拟集成电路设计原理》期末考试

1 《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容Cin为__ CF(1-A) __。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 11、1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,VGS=VTH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当VGS

模拟集成电路设计原理_试题库1

《模拟集成电路设计原理》试卷(答题卷)(1) 一、填空题(共30分,每空格1分) 1. MOSFET 是一个四端器件,现在大多数的CMOS 工艺中,P 管做在_____中,并且,在大 多数电路中,P 管的衬底与______(高或低)电平相连接,这样连接的原因是使得_________________________________________________。 2. 对增强型NMOS 来说,让其处于饱和时的条件为_______________________________, 增强型PMOS 处于饱和时的条件为__________________________________________。 3. 在两级运放中,通常是用第一级运放实现_____________,用第二级运放实现 _____________。 4. 实际工艺中,本征阈值电压并不适用于电路设计,因此在器件制造过程中,通常通过 向沟道区注入__________来调整阈值电压,其实质是改变氧化层(栅氧)界面附近衬底的_______________。 5. 阈值电压为发生强反型时的栅压,对增强型NMOS 管来说,发生强反型时的条件为 __________________________________________________。 6. 折叠式共源共栅运放与套筒式共源共栅结构相比,输出电压摆幅_______,但这个优点 是以较大的________、较低的_______________、较低的_____________和较高的____________为代价得到的。 7. 对于一个负反馈系统来说,有前馈网络A 和反馈网络β,那么这个系统的开环增益为 _______,闭环增益为________________,环路增益为____________。 8. 对于一个单极点系统来说,单位增益带宽为80MHz ,若现在带宽变为16MHz ,则环路增 益为_________,闭环增益为_______。 9. 为了使系统稳定,零点应处于________平面,并且让极点尽量______。 10. 对单级共源、共漏和共栅放大器来说,dB f 3带宽最小的为__________,原因是由于 _______________的存在,dB f 3带宽最大的为__________。 11. MOSFET 的版图由电路中的器件所要求的_____________和工艺要求的 ________________共同决定。例如,选择适当的W/L 来确定跨导和其它电路参数,而L 的最小值由工艺决定。 12. 对于理想的差动电路来说,电路将只对_______________进行放大,而且完全抑制

实验室常用模拟集成电路

实验室常用模拟集成电路 序号型号名称 M001 2P4M 可控硅 M002 4N35 通用光电耦合器 M003 6N135 数字逻辑隔离 M004 24C01 1K/2K 5V I2C 总线串行EEPROM M005 24LC08B 8K I2C 总线串行EEPROM M006 93C46 1K 串行EEPROM M007 AD574 12-BIT,DAC 转换器 M008 BM2272 遥控译码器 M009 CA3140E 4.5MHz,BiMOS 运算放大器 M010 TLP521 可编程控制AC/DC 输入固态继电器 M011 7805 正5V 三端稳压集成电路 M012 LM7905 负5V 三端稳压集成电路 M013 LA7806 B/W 电视机同步、偏转电路,16PIN M014 7906C 负6V 三端稳压集成电路 M015 7808A 正8V 3 端稳压器,输入35V,功率20.8W M016 7908AC 正8V 3 端稳压器,输入35V,功率12W M017 LM7809 正9V 三端稳压集成电路 M018 ADS7809 正9V 三端稳压集成电路 M019 TA7810S 0.5A,3 端稳压器 M020 TDA7910N 负10V 3 端稳压器,输入-35V,1A,功率12W M021 IRF7811A N-MOSFET,功率场效应管,28V/11.4A/2.5W M022 7812A 正12V 3 端稳压器,输入35V,功率20.8W M023 LM7912 1A 3 端稳压器 M024 AD7813 2.5V-5.5V,400kSPS,8/10-BIT,采样,ADC 转换器M025 LM7815 正15V 三端稳压集成电路 M026 LM7915 负15V1A 3 端稳压器 M027 AD7819 2.7V-5.5V,200KSPS,8-BIT,采样,ADC 转换器 M028 LA7820 彩色电视机同步/偏转电路 M029 L7920C 负20V1A 3 端稳压器 M030 LC7821 模拟开关 M031 LM7824 正24V 三端稳压集成电路 M032 KA7924 负24V1A 3 端稳压器 M033 AD7825 3Vto5V、2MSPS、1/4/8 通道、8BitAD 转换器 M034 PJ7925CZ 负25V1A 3 端稳压器 M035 ADS7826 10/8/12 位取样模拟数字转换器用2.7V 的电源 M036 IRF840 功率场效应管,大功率、高速, 500V/8A/125W M037 ADC0809 8-BIT up 兼容8 通道多路复用器A/D 转换器 M038 ADC0832 2 路,8-BIT 串行输入/输出A/D 转换多路选择 M039 LM324N 四路运算放大器 M040 LM339 低功耗低失调电压四比较器 M041 LM358 低功率双运算放大器

电子科大罗萍模拟集成电路期末试题3

………密………封………线………以………内………答………题………无………效…… 电子科技大学二零零 四 至二零零 五 学年第 二 学期期 中 《微电子电路设计》课程考试题( 120 分钟) 考试形式: 闭卷 考试日期 2005年 5 月 日 1. Identify the source, drain, gate and bulk terminals, and find the current I in the transistors in the following Figure. Assume 2'/25V A K n μ=,V TN =0.75V . (16pts) (problem4.3) Solution: (a) V V V V V V V V V S D D S S G G S 2.0)2.0(0, 5=--=-==-= A V A V V V V L W K I I DS DS TN G n DS μμ2082.022.075.02.51102522' =??? ? ?--=??? ??--== (b) V V V V V V V V V S D D S S G G S 2.0)2.0(0, 2.5)2.0(5=--=-==--=-= A V A V V V V L W K I I DS DS TN G n DS μμ2182.022.075.02.51102522' -=??? ? ?--=??? ??---=-=

………密………封………线………以………内………答………题………无………效…… 2. Design the bias circuit in the following figure to give the Q-point of mA I C 10= and V V EC 3= if the transistor current gain is 75=F β and V V BE 7.0=.What is the Q-point if the current gain of the transistor is actually 40? (15 pts) ( problem 5.62 ) Solution: Ω →Ω=-==Ω→Ω=-===+= =-=+-=183.171333.0)7.03( ,68069110013)310( 13.101075 76 1 ,10)(10k mA V I -V V R mA V R mA mA I I I R I R I I V B EB EC B C C F F F C E C E C B C EC ββα 0)5()(6801800007.05=--+---B C B I I I mA I I A V I B F C B 108.8, 7.202)680(41180007.010===Ω +-= βμ V mA V V EC 35.4680)311.8(10=Ω-= )35.4,11.8(:int V mA po Q -? 3. Find V OH , V OL and the power dissipation (for v o =V OL ) for the logic inverter with the saturated load in the following figure. Assume 0=γ, and 2' /25V A K n μ=,V V TN 1=. (16 pts) (Problem7.12m) Solution: V V V V For TN D D O H 6.216.3,0=-=-==γ

答案模拟集成电路基础

第五章答案 模拟集成电路基础 1.直接耦合放大电路有哪些主要特点? 优点:1)电路中无电容,便于集成化。 2)可放大缓慢变化的信号。 缺点:1) 各级放大器静态工作点相互影响。 ? 2) 输出温度漂移严重。 2.集成运算放大器的内部电路由哪几部分组成?各部分的作用是什么? 集成运算放大器的内部电路通常都由输入级、中间级、输出级及偏置电路组成。 差分输入级提供了与输出端成同相和反相关系的两个输入端。差分电路有很好的对称特性,可以提高整个电路抑制零漂的能力和其他方面的性能。 中间级主要是提供足够高的电压增益,多由一级或多级共射(共源)放大电路组成。 输出级主要是向负载提供足够的功率,属于功率放大。 偏置电路是为各级放大电路建立合适的静态工作点,它常采用各种形式的电流源电路,为各级提供小而稳定的偏置电流。 3.简述镜像电流源的工作原理及其优缺点。 CC BE o REF V V I I R -≈= ,当电源V CC 和R 确定后,I REF 就确定了,不管T 2集电极支路中的负 载R L 如何,I o 总是等于I REF ,二者关系像一面镜子,所以称电路为镜像电流源。 这种电流源的优点是结构简单,两三极管的V BE 有一定的相互温度补偿作用。但是,它也存在以下不足之处: ① 受电源的影响大。当V CC 变化时,I C2也同样随之变化。因此,这种电流源不适用于电源电压大幅度变动的场合。 ② 镜像电流源电路适用于较大工作电流(毫安数量级)的场合。

③ 由于恒流特性不够理想,三极管c 、e 极间电压变化时,i c 也会作相应的变化,即电流源的输出电阻r o 还不够大。 4.简述微电流源的工作原理及其特点。 V BE1?V BE2=?V BE =I E2 R e ≈I C2 R e , 因此,即使I C1比较大,但由于R e 的存在,将使输出电流I C2<I C1,即在R 不太大的情况下,也能获得微小输出电流。 与镜像电流源相比,微电流源具有以下特点: ①一般?V BE 很小(约几十毫伏),因而采用不大的R e 即可获得较小的输出电流I C2(微安数量级),因而称为微电流源。 ② 当电流源电压V CC 变化时,虽然I REF 与I C2也要作相应的变化,但由于R e 的作用,使V BE2<< V BE1,以至T 2的V BE2的值很小,工作在输入特性的弯曲部分,使I C2的变化远小于I REF 的变化,故提高了恒流源对电源变化的稳定性。 ③ 由于R e 引入电流负反馈,不仅提高了电路输出电流的稳定性,同时也提高了T 2的集电极输出电阻,使它更接近于理想的恒流源。 5.电流源的主要作用是什么? 1).电流源提供稳定的输出电流,可以作直流偏置电路 2).电流源直流等效电阻小,交流等效电阻大,可作有源负载 6.精密电流源电路如题图5-1所示,三个三极管的参数完全对称,电流放大系数均为β,V BE =0.7V , V CC =15V 。(1)证明:2C2REF 22I I ββ ββ+=++;(2)当β值很大时,为使输出电流I o 为30μA ,电阻R 应 为多大? (1)由以下式子得证:

模拟集成电路复习题1

1. 选择题(每题2分,共30分) 1. 下列关于双极型模拟集成电路隔离区划分原则中不正确的说法 是( ) A.NPN管V C相同时, 可以放在同一隔离区 B. NPN管V C和PNP管的V E相同时, 可以放在同一隔离区 C. MOS电容需要单独一个隔离区 D. 硼扩散电阻原则上可以放在同一隔离区 2. 在版图设计中, 设计规则检查称为() A. EXTRACT B. ERC C. DRC D. LVS 3. 差分对中, 不影响其共模抑制比的因素为( ) A.差分管的对称性 B. 电流源的交流阻抗 C. 输入电压幅度 D. 电阻R C1和R C2的对称性 4. 在PMOS中, 衬底上加上正电压偏置, 会使阈值电压( ) A. 增大 B 不变 C 减小 D 可大可小 5. 随着微电子工艺水平提高, 特征尺寸不断减小, 这时电路的工作电 压会() A不断提高 B. 不变 C. 可大可小 D. 不断降低 6. 下列()技术指标不能描述集成电路工艺水平? A.集成度 B.特征尺寸 C. 芯片面积 D. 输入阻抗 7. CMOS推挽放大器NMOS管和PMOS管分别工作于( ). A . NMOS管工作于截止区和线性区; PMOS管工作于截止区和线性区

B. NMOS管工作于饱和区和线性区; PMOS管工作于饱和区和线性区 C. NMOS管工作于饱和区; PMOS管工作于饱和区 D. NMOS管工作于饱和区和线性区; PMOS管工作于截止区和线性区 8. CMOS放大器的电压增益( ) E/E, E/D放大器.(所用器件相同情 况下) A. 高于 B.等于 C. 小于 D. 可能高也可能低 9. 对于电流镜的要求, 那种说法正确( ) A. 输出阻抗高 B输出阻抗低 C交流输出阻抗高 D直流输出阻抗高 10. Cascode电流镜的最小输出电压V MIN(out)的值为( ) A.V ON+V TN B.2(V ON+V TN) C. 2V ON+V TN D. V ON+2V TN 11. 正偏二级管具有( )温度特性. A . 零 B. 负 C. 正 D. 可正可负 12. 差分放大器差模电压增益与( )有关 A. 双端输入还是单端输出; B. 双端输出还是单端输出 C. 双端输入还是单端输入 D. 与输入输出形式无关 13. 在模拟和数字混合电路中, 关于电源和地线的说法正确的是( ) A.模拟和数字部分可共用地线, 不能共用电源线 B.模拟和数字部分不能共用地线, 不能共用电源线 C.模拟和数字部分不能共用地线, 能共用电源线 D.模拟和数字部分能共用地线, 也能共用电源线

模拟集成电路测试

模拟集成电路测试技术 20092123 王天亮 模拟集成电路产品测试分别在生产中的两个阶段进行,既在芯片封装前和封装后,中测的目标是挑选出合格的芯片,送去封装。之所以进行两端测试,是因为封装和测试比其他生产工业工序更为费时,并且经济消耗也很大。只能选择合格芯片进行封装和测试将提高封装后合格器件的比例。成测还是必需的,因为扯了测试要求的因素,在封装过程中还将有可能导入新的故障。 方法:数字集成电路是由故障模型驱动的,而模拟集成电路测试则基本上规范驱动,这是两种电路测试方法学上的重要区别。数字集成电路测试方法基于故障类型,最简单的是固定“0”和固定“1”故障,其失效机理是一个电路的端点固定为逻辑0和1。根据这个故障假设,通过模拟产生测试输入向量和输出响应向量集,并给出故障覆盖率。如果一个测试向量集能使故障电路的模拟输出与无故障电路的输出不同,则认为该测试向量集能检测该故障。这样就可以在正式生产以前,在设计阶段就可以通过模拟产生随后用于生产测试的测试向量,当然它同样可用于可测试分析。特别是,若为了达到一定的故障覆盖率所需测试向量集很长时,可在正式生产前重新进行设计,这样既可以减少测试集长度又能保证必要的故障覆盖。总之,数字集成电路测试领域是一个开发较好,较系统,技术成熟的领域。 而模拟集成电路上没有被普遍接受故障类型,因此到目前为止,模拟集成电路测试认识规范驱动的,即在产品和成测阶段,测试依据的是电路规范。以运算放大器为例,比如其主要规范是; DC增益>=80dB; 4kHz 的总谐波失真<=0.002%; 1MHz 的总谐波失真<=0.1%; 建立时间<=200ns; 功耗<=3mw。 最一般的方法就是按上述规范进行测试并将合格芯片拿去封装。然后进行中测,中测有些技术问题,比如探针寄生参数影响动态参数测试,所以常常只选择直流电压和电流进行测量。为了使之选择直流参数测试的方法有更好的效果,可以采用统计优化技术,其基本点是优化测试容限的分配。对模拟集成电路,规范所规定的行为时一个完整的范围。比如输入信息范围,频率范围等,测试时一般只选择其中一个子集,以放大器为例,可以提出,比如:为了测量向量电路的总谐波失真,仅选择4KHz和1MHz进行测量,是不是足够充分。 用阶跃输入响应电路的建立时间能否正确的表征其响应特性。 当电路工作电压或环境温度发生变化时,能确保正常工作吗? 集成电路测试技术是集成电路产业链中必不可少的一个重要环节,在SoC时代,虽然模拟电路所占比例越来越少,但无论其设计、工艺和测试都逐渐变成整个系统最难的环节。由于其对应工作范围几乎为全电流范围,所以需要一些特殊的方法进行测试,这就进一步提高了对测试设备的要求。在国内,这些技术都还处于发展阶段,与国外同类A TE产品具有

专升本《CMOS模拟集成电路分析与设计》_试卷_答案【精品文档】

专升本《CMOS模拟集成电路分析与设计》 一、(共75题,共150分) 1. Gordon Moore在1965年预言:每个芯片上晶体管的数目将每()个月翻一番(2分) A.12 B.18 C.20 D.24 .标准答案:B 2. MOS 管的小信号输出电阻是由MOS管的()效应产生的。(2分) A.体 B.衬偏 C.沟长调制 D.亚阈值导通 .标准答案:C 3. 在CMOS模拟集成电路设计中,我们一般让MOS管工作在()区。(2分) A.亚阈值区 B.深三极管区 C.三极管区 D.饱和区 .标准答案:D 4. MOS管一旦出现()现象,此时的MOS管将进入饱和区。(2分) A.夹断 B.反型 C.导电 D.耗尽 .标准答案:A 5. ()表征了MOS器件的灵敏度。(2分) A. B. C. D. .标准答案:C 6. Cascode放大器中两个相同的NMOS管具有不相同的()。(2分) A. B. C. D. .标准答案:B 7. 基本差分对电路中对共模增益影响最显著的因素是()。(2分) A.尾电流源的小信号输出阻抗为有限值 B.负载不匹配 C.输入MOS不匹配 D.电路制造中的误差 .标准答案:C 8. 下列电路不能能使用半边电路法计算差模增益()。(2分) A.二极管负载差分放大器 B.电流源负载差分放大器 C.有源电流镜差分放大器 D.Cascode负载Casocde差分放大器 .标准答案:C 9. 镜像电流源一般要求相同的()。(2分) A.制造工艺 B.器件宽长比 C.器件宽度W D.器件长度L .标准答案:D 10. 某一恒流源电流镜如图所示。忽略M3的体效应。要使和严格相等, 应取为()。 (2分) A. B. C. D. .标准答案:A 11. 选择题:下列结构中密勒效应最大的是()。(2分) A.共源级放大器 B.源级跟随器 C.共栅级放大器 D.共源共栅级放大器 .标准答案:A 12. 下图中,其中电压放大器的增益为-A,假定该放大器为理想放大器。请计算该电路的等效输入电阻为()。 (2分) A.

模拟CMOS集成电路复习题库及答案

模拟CMOS集成电路期末复习题库及答案 整理人:李明1.MOSFET跨导g m是如何定义的。在不考虑沟道长度调制时,写出MOSFET在饱和区的g m与 V GS?V TH、√I D和1V GS?V TH的关系表示式。画出它们各自的变化曲线。 2.MOSFET的跨导g m是如何定义的。在考虑沟道长度调制时,写出MOSFET在饱和区的g m与 V GS?V TH、√I D和1V GS?V TH的关系表示式。画出它们各自的变化曲线。 解:MOSFET跨导g m的定义:由于MOSFET工作再饱和区时,其电流受栅源过驱动电压控制,所以我们可以定义一个性能系数来表示电压转换电流的能力。更准确地说,由于在处理信号的过程中,我们要考虑电压和电流的变化,因此我们把这个性能系数定义为漏电流的变化量除以栅源电压的变化量。我们称之为“跨导”,并用g m来表示,其数值表示为: 在不考虑沟道长度调制时: 在考虑沟道长度调制时:

3.画出考虑体效应和沟道长度调制效应后的MOSFET小信号等效电路。写出r o和g mb的定义,并由此定义推出r o和g mb表示式。 解:

4.画出由NMOS和PMOS二极管作负载的MOSFET共源级电路图。对其中NMOS二极管负载共源级电路,推出忽略沟道长度调制效应后的增益表示式,分析说明器件尺寸和偏置电流对增益的影响。对PMOS二极管负载的共源级电路,对其增益表示式作出与上同样的分析。

5.画出MOS共源共栅级电路的电路图和其对应的小信号等效电路图。并推出此共源共栅级电路的电压增益和输出电阻表示式。 解:

6.画出带源极负反馈电阻的以电阻作负载的MOS共源级电路的电路图和其对应的小信号等效电路图。写出此电路的等效跨导定义式,并由此推出在不考虑沟道长度调制和体效应情况下的小信号电压增益表示式。画出其漏电流和跨导随V in的变化曲线图。 7.画出带源极负反馈电阻的以电阻作负载的MOS共源级电路的电路图和其对应的小信号 等效电路图。写出此电路的等效跨导定义式,并由此推出考虑沟道长度调制和体效应情 况下的小信号电压增益表示式。画出其漏电流和跨导随V in的变化曲线图。 解:

模拟集成电路设计试卷

1、与其它类型的晶体管相比,MOS 器件的尺寸很容易按____比例____缩小,CMOS 电路被证明具有_较低__的制造成本。 2、 放大应用时,通常使MOS 管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in 为__ C F (1-A )__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS 工艺中,PMOS 管与NMOS 管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS =V TH 时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

专升本《CMOS模拟集成电路分析与设计》_试卷_答案

. 专升本《CMOS模拟集成电路分析与设计》 一、(共75题,共150分) 1. Gordon Moore在1965年预言:每个芯片上晶体管的数目将每()个月翻一番(2分) A.12 B.18 C.20 D.24 .标准答案:B 2. MOS 管的小信号输出电阻是由MOS管的()效应产生的。(2分) A.体 B.衬偏 C.沟长调制 D.亚阈值导通 .标准答案:C 3. 在CMOS模拟集成电路设计中,我们一般让MOS管工作在()区。(2分) A.亚阈值区 B.深三极管区 C.三极管区 D.饱和区 .标准答案:D 4. MOS管一旦出现()现象,此时的MOS管将进入饱和区。(2分) A.夹断 B.反型 C.导电 D.耗尽 .标准答案:A 5. ()表征了MOS器件的灵敏度。(2分) A. B. C. D. .标准答案:C 6. Cascode放大器中两个相同的NMOS管具有不相同的()。(2分) A. B. C. D. .标准答案:B 7. 基本差分对电路中对共模增益影响最显著的因素是()。(2分) A.尾电流源的小信号输出阻抗为有限值 B.负载不匹配 C.输入MOS不匹配 D.电路制造中的误差 .标准答案:C 8. 下列电路不能能使用半边电路法计算差模增益()。(2分) A.二极管负载差分放大器 B.电流源负载差分放大器 C.有源电流镜差分放大器 D.Cascode负载Casocde差分放大器 .标准答案:C 9. 镜像电流源一般要求相同的()。(2分) A.制造工艺 B.器件宽长比 C.器件宽度W D.器件长度L .标准答案:D 10. 某一恒流源电流镜如图所示。忽略M3的体效应。要使和严格相等, 应取为()。(2分) A. B. C. D. .标准答案:A 11. 选择题:下列结构中密勒效应最大的是()。(2分) A.共源级放大器 B.源级跟随器 C.共栅级放大器 D.共源共栅级放大器 .标准答案:A 12. 下图中,其中电压放大器的增益为-A,假定该放大器为理想放大器。请计算该 电路的等效输入电阻为()。(2分) A.

模拟集成电路设计试卷

1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_ 较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来 表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输 出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制 沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为__ C F(1-A)__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS=V TH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

模拟集成电路设计作业_1

《模拟集成电路设计原理》期末考试A卷 课程性质:必修使用范围: 考试时间:考试方式: 学号专业班级学生姓名成绩 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按__________缩小,CMOS电路被证明具有_____ 的制造成本。 2、放大应用时,通常使MOS管工作在________区,电流受栅源过驱动电压控制,我们定义_跨导_来 表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值__________(较大、较小)。 4、源跟随器主要应用是起到______________的作用。 5、共源共栅放大器结构的一个重要特性就是______________很高,因此可以做成___________。 6、由于__________________________或________________________等因素,共模输入电平的变化会引 起差动输出的改变。 7、理想情况下,___________结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑 制沟长调制效应带来的误差,可以进一步将其改进为____________________________结构。 8、为方便求解,在一定条件下可用______________________法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为_____________________。 10、λ为沟长调制效应系数,λ值与沟道长度成___________(正比、反比)。

二.名词解释(每题3分,共24分) 1、阱 2、亚阈值导电效应 3、沟道长度调制 4、等效跨导Gm 5、米勒定理 6、N阱 7、有源电流镜 8、输出摆幅 三.画图题(每题8分,共16分) 1、以V DS作为参数画出NMOS晶体管的I D~V GS曲线。 要求:(1)画三条曲线,V DS的值分别为V DS1、V DS2、V DS3,其中V DS1

COMS模拟集成电路考试用

1,MOS管的工作原理 MOS管有N沟和P沟之分,每一类分为增强型和耗尽型,增强型MOS管在栅-源电压vGS=0时,漏-源极之间没有导电沟道存在,即使加上电压vDS,也没有漏极电流产生。而耗尽型MOS 管在vGS=0 时,漏-源极间就有导电沟道存在。 MOS 管的源极和衬底通常是接在一起的。增强型MOS管的漏极d和源极s之间有两个背靠背的PN结。当栅-源电压vGS=0时,即使加上漏-源电压 vDS,总有一个 PN 结处于反偏状态,漏- 源极间没有导电沟道,这时漏极电流 iD≈0。若在栅-源极间加上正电压,即 vGS>0,则栅极和衬底之间的 SiO2 绝缘层中便产生一个垂直于半导体表面的由栅极指向衬底的电场,这个电场能排斥空穴而吸引电子,形成耗尽层,同时 P 衬底中的电子被吸引到衬底表面。当 vGS 数值较小,吸引电子的能力不强时,漏-源极之间仍无导电沟道出现.vGS 增加时,吸引到 P衬底表面层的电子就增多,当 vGS 达到某一数值时,这些电子在栅极附近的 P 衬底表面便形成一个 N 型薄层,在漏-源极间形成 N 型导电沟道,称为反型层。vGS 越大,吸引到 P 衬底表面的电子就越多,导电沟道越厚,沟道电阻越小。开始形成沟道时的栅-源极电压称为开启电压VT。N 沟增强型 MOS 管在 vGS<VT 时,不能形成导电沟道,管子处于截止状态。当 vGS≥VT 时,才有沟道形成,此时在漏-源极间加正电压 vDS,才有漏极电流产生。而且vGS增大时,沟道变厚,沟道电阻减小,iD 增大。 2、影响MOS管阈值电压的主要因素 一是作为介质的栅氧化层中的电荷Qss及其性质。这种电荷通常由多种原因产生,其中一部分带正电,一部分带负电,其净电荷的极性会对衬底表面产生电荷感应,从而影响反型层的形成,或使器件耗尽,或阻碍反型层的形成。 二是衬底的掺杂浓度。要在衬底上表面产生反型层,必须施加能够将表面耗尽并且形成衬底少数载流子的积累的栅源电压,这电压的大小与衬底的掺杂浓度有直接关系。衬底掺杂浓度越低,多子浓度也越低,使衬底表面耗尽和反型所需要的电压VGS越小。衬底表面掺杂浓度的调整是通过离子注入杂质离子进行。 三是由栅氧化层厚度tOX决定的单位面积栅电容的大小。单位面积栅电容越大,电荷数量变化对VGS的变化越敏感,器件的阈值电压则越小。栅氧化层越薄,氧化层中的场强越大,栅氧化层的厚度受到氧化层击穿电压的限制。 四是栅材料与硅衬底的功函数差ΦMS的数值,这和栅材料性质以及衬底的掺杂类型有关,在一定的衬底掺杂条件下,栅极材料类型和栅极掺杂条件都将改变阈值电压。对于以多晶硅为栅极的器件,器件的阈值电压因多晶硅的掺杂类型以及掺杂浓度而发生变化 3MOS管的二级效应 衬底效应MOS管的阈值电压将随其源极和衬底之间电位的不同而发生变化。随着VGS上升,在衬底表面产生了耗尽层。当VGS上升阈值电压时,栅下的衬底表面发生反型,NMOS管在源漏之间开始导电。阈值电压的大小和耗尽层的电荷量有关,耗尽层的电荷量越多,NMOS管的开启就越困难,阈值电压越高。当VBS<0时,栅极和衬底之间的电位差加大,耗尽层的厚度也变大,耗尽层内的电荷量增加,所以造成阈值电压变大。随着VBS变小,阈值电压上升,在VGS和VDS不变的情况下,漏极电流变小。 沟道长度调制效应MOS晶体管中,栅下沟道预夹断后、若继续增大Vds,夹断点会略向源极方向移动。导致夹断点到源极之间的沟道长度略有减小,有效沟道电阻也就略有减小,从而使更多电子自源极漂移到夹断点,导致在耗尽区漂移电子增多,使Id增大 亚阈值效应即使在VGS

模拟集成电路学习历程--吐血推荐

我想说的是三本经典教材。没有看完,应该说根本不能入门,现在我想谈谈对三本教材的学习经验论坛上有很多大虾的心得。我还想谈谈! 我是从艾伦的开始,可以说艾伦的书是模拟CMOS IC 设计的最基本的书,它完全是从集成电路的角度,而且和工艺结合的很紧,好像和分立的电路完全分开,我觉得艾伦的书最经典的分析在于大信号的分析,让你了解集成电路的设计要考虑的问题,而不是对实际电路的具体分析,此书更好的是书中的电路直接来自工程实践的,从设计的角度谈的很多,很好。特别是5,6,7。但是如果基础不够,那刚开始时有难度! 那就再看GRAY的,此书是三本中,最基本的,是从分立到集成的桥梁,看艾伦的如果某些地方有难度,特别是级零点,小信号的分析(刚从分立的模拟电路设计转入集成电路设计的朋友,喜欢从小信号来分析电路参数的),强烈推荐GRAY(理论大师,讲解的特别清晰、详细). 以上两本书看完了后,你可能跃跃欲试,想设计个电路看看,然后电路结构想改进,电路的拓扑结构越来越难,小信号的分析有难度的,大信号也不能一目了然了,遇到了瓶颈了,怎么办?看拉扎维的!!!(有网友说拉是用艺术的眼光来设计电路的)此书从大局的角度来分析电路的。 三本书后,基本上你算入门了,可以跟大牛做项目了,然后多看IEEE的资料,(基准源,运放,比较器)是要继续训练的,(有位大侠谈过了,看帖子,模拟电路的四重境界--文章结尾有)。然后再从CMOS 到BICMOS等等!! 我再推荐两本好书(专业性更强)introducation to cmos op-amps and comparators;design of analog y chip 本人刚刚学习,说得不好,不专业,还请各位朋友多多提醒 模拟电路的四重境界 复旦攻读微电子专业模拟芯片设计方向研究生开始到现在五年工作经验,已经整整八年了,其间聆听过很多国内外专家的指点。最近,应朋友之邀,写一点心得体会和大家共享。 我记得本科刚毕业时,由于本人打算研究传感器的,后来阴差阳错进了复旦逸夫楼专用集成电路与系统国家重点实验室做研究生。现在想来这个实验室名字大有深意,只是当时惘然。电路和系统,看上去是两个概念,两个层次。我同学有读电子学与信息系统方向研究生的,那时候知道他们是“系统”的,而我们呢,是做模拟“电路”设计的,自然要偏向电路。而模拟芯片设计初学者对奇思淫巧的电路总是很崇拜,尤其是这个领域的最权威的杂志JSSC (IEEE Journal of solid state circuits),以前非常喜欢看,当时立志看完近二十年的文章,打通奇经八脉,总是憧憬啥时候咱也灌水一篇,那时候国内在此杂志发的文章凤毛麟角,就是在国外读博士,能够在上面发一篇也属优秀了。 读研时,我导师是郑增钰教授,李联老师当时已经退休,逸夫楼邀请李老师每个礼拜过来指导。郑老师治学严谨,女中豪杰。李老师在模拟电路方面属于国内先驱人物,现在在很多公司被聘请为专家或顾问。李老师在87年写的一本(运算放大器设计);即使现在看来也是经典之作。李老师和郑老师是同班同学,所以很要好,我自然相对于我同学能够幸运地得到李老师的指点。李老师和郑老师给我的培养方案是:先从运算放大器学起。所以我记得我刚开始从小电流源开始设计。那时候感觉设计就是靠仿真调整参数。但是我却永远记住了李老师语重心长的话:运放是基础,运放设计弄好了,其他的也就容易了。 当时不大理解,我同学的课题都是AD/DA,锁相环等“高端”的东东,而李老师和郑老师却要我做“原始”的模块,我仅有的在(固体电子学) (国内的垃圾杂志)发过的一篇论文就是轨到轨(rail-to-rail)放大器。做的过程中很郁闷,非常羡慕我同学的项目,但是感觉李

相关文档
最新文档