基于的数字时钟的设计

基于的数字时钟的设计
基于的数字时钟的设计

基于QuartusII的数字时钟的设计

摘要

QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

QuartusII使用户可以充分利用成熟的模块,简化了设计的复杂性,加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。

数字钟是一种用数字电路实现时、分、秒计时的装置,与机械实施中相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,已得到了广泛的使用。

在对EDA的课程有了初步的了解并掌握Quartus II软件的初步应用之后,我们决定将课题设置为应用Quartus II软件,设计出一个时间可调,并可以通过LED七段共阴极数码管来显示时、分、秒的简易数字钟。

关键词:QuartusII;VHDL;EDA;数字钟

Summary

QuartusII is Altera company comprehensive PLD/FPGA development software, support principle diagram, VHDL, VerilogHDL and AHDL design input in the form of embedded own comprehensive device simulators, and can be done from the design input to the hardware configuration of the complete PLD design process.

QuartusII allow users to take full advantage of mature modules, simplifies the design complexity, speed up the design.Good support for third-party EDA tools also allow users to use in the different stages of the design process is familiar with

third-party EDA tools.

Digital clock is a kind of when using a digital circuit implementation, minutes and seconds timing device, a higher accuracy compared with the implementation of the mechanical and intuitive, and no mechanical device, has a longer service life, has been widely used.

In the course of EDA have a preliminary understanding and mastering the Quartus II software after the initial application, we decided to set the topic for the application of the Quartus II software, design a time is adjustable, and can be through the 7 common cathode LED digital tube display hours, minutes and seconds of simple digital clock.

Keywords:QuartusII;VHDL;EDA;digital clock

目录

摘要 (1)

绪论 (5)

1.课程设计的目的与作用 (7)

2.设计任务 (7)

3.QuartusII软件介绍 (7)

4.相关理论 (8)

4.1 理论 (8)

4.2 器件 (8)

5. 系统设计 (8)

5.1 总体 (8)

5.2 各模块 (9)

5.2.1 顶层模块 (9)

5.2.2 十进制计数器模块 (9)

5.2.3 六进制计数器模块 (10)

5.2.4 二十四进制计数器模块 (10)

5.2.5 7段LED显示驱动模块 (11)

6. 硬件设计 (11)

6.1 顶层实体图 (11)

6.2 各模块实体图 (12)

6.2.1 十进制计数器模块 (12)

6.2.2 六进制计数器模块 (12)

6.2.3 二十四进制计数器模块 (13)

6.2.4 7段LED显示驱动模块 (13)

6.3 总体实体图 (14)

7. 流程图设计 (15)

8.模块设计实现 (16)

8.1建立顶层模块 (16)

8.1.1新建dianzizhong.工程 (16)

8.1.2建立VHDL源程序 (16)

8.2建立十进制计数器模块 (18)

8.2.1新建CNT10.工程 (18)

8.2.2建立VHDL源程序 (18)

8.3建立六进制计数器模块 (19)

8.3.1新建CNT6.工程 (19)

8.3.2建立VHDL源程序 (19)

8.4建立二十四进制计数器模块 (20)

8.4.1新建CNT24.工程 (20)

8.4.2建立VHDL源程序 (20)

8.5建立7段LED显示驱动模块 (21)

8.5.1新建LED_DRIV.工程 (21)

8.5.2建立VHDL源程序 (22)

9.仿真调试结果分析 (22)

9.1顶层模块的编译与波形仿真 (22)

9.2十进制计数器模块的编译与波形仿真 (23)

9.3六进制计数器模块的编译与波形仿真 (24)

9.4二十四进制计数器模块的编译与波形仿真 (25)

9.5 7段LED显示驱动模块的编译与波形仿真 (26)

10. 结论 (27)

11.设计总结和体会 (27)

12. 致谢 (28)

13. 参考文献 (29)

绪论

1.研究的意义

在快速发展的年代,时间对于人们来说越来越宝贵,在快节奏的生活中,人们往往会忘记了时间,一旦在一些重要的场合忘记了时间,将会带来重大的损失。因此我们需要一个定时系统来提醒忙碌的人,数字钟无疑是一个极佳的选择。

数字钟是一种用数字电路实现时、分、秒计时的装置,与机械实施中相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,已得到了广泛的使用。数字钟已成为人们日常生活中不可缺少的生活必需品,广泛的应用于家庭以及车站、码头、剧场办公室等公共场所,给人们的生活、学习、工作、娱乐带来了极大的方便。

2.国内外研究现状

数字钟一般由振荡器,分频器,译码器,显示器等部分组成,这些都是数字电路中最基本的,应用最广的电路。当前市场上已有现成数字钟集成电路芯片出售,价格较便宜由于数字集成电路技术的发展,采用了先进稳定的石英振荡技术,是数字钟具有走时准确,性能稳定,携带方便等特点,是目前人们生活和工作不可或缺的报时用品。

然而,近些年来,随着科技的发展和进步,人们对数字钟的要求也越来越高,传统的简易的功能单一的数字钟已不能满足人们的需求。新出现的多功能时钟不管在性能上还是在样式上都发生了质的变化,有电子闹钟、数字闹钟等等。而且在功能方面,也大大地扩展了钟表原先的报时功能。研制出了具有诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等功能的数字时钟,给人们带来了极大的便利。

3.研究思路和方法

本学期,我们学习了EDA的课程,开始掌握Quartus II软件的初步应用。因此,本组成员决定从数字钟这一项目进行展开,应用Quartus II软件,设计出一个时间可调,并可以通过LED七段共阴极数码管来显示时、分、秒的简易数字钟。

设计与实践部分

基于QuartusII的数字时钟的设计1.课程设计的目的与作用

(1)掌握基于FPGA的数字模块开发方法;

(2)掌握QuartusII软件的使用方法;

(3)假设外部输入脉冲为1Hz,使用该频率设计一个时间可调,并通过LED七段共阴极数码管能够显示时、分、秒的数字钟。

2.设计任务

本次课程设计的任务是利用所学的EDA的理论知识作为分析设计指导,查阅资料书籍,设计出基于QuartusII的数字时钟,并对其用QuartusII软件仿真所设计的程序,并将仿真结果与之前的理论分析计算出的结果进行比较,若无差别,则可认为程序设计合理;若有差别,检查设计程序并做相应的修改,直至理论分析结果与实际仿真结果相同,完成课程设计的设计报告并进行答辩。

3.QuartusII软件介绍

QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

QuartusII使用户可以充分利用成熟的模块,简化了设计的复杂性,加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。

QuartusII提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL 完成电路描述,并将其保存为设计实体文件。

此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便

的使用方法。

Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

4. 相关理论

4.1 设计理论及原理

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。本次设计的数字钟在设计时,先对1s的时钟进行计数,当计数达到60次时,输出一个分钟(min)脉冲;当1min的时钟计数达到60次时,输出1个小时(h)脉冲;若1h的时钟计数达到23次时,并且1min的技术达到59次、1s 的计数也达到59次,再来1个1s的脉冲,数字钟就自己复位,重新从零开始计时。

因此,综上所述,若要完成以上设计,数字钟需由3个计数模块(二十四进制计数器、十进制计数器和六进制计数器)、7段LED驱动显示模块和顶层模块构成。将这些模块进行有机的连接即可实现设计任务。

4.2 器件

INPUT、OUPUT、CNT6、CNT10、CNT24、LED_DRIV

5. 系统设计

5.1 总体

数字时钟总体shuzizhong.vwf设计连接后的原理图如下所示

图5.1 数字钟总体原理图

5.2 各模块

5.2.1 顶层模块

顶层模块dianzizhong.vwf设计、连接、封装后的原理图如下所示

图5.2.1顶层模块原理图

5.2.2 十进制计数器模块

十进制计数器模块CNT10.vwf设计、连接、封装后的原理图如下

图5.2.2 十进制计数器原理图

5.2.3 六进制计数器模块

六进制计数器模块CNT6.vwf设计、连接、封装后的原理图如下

图5.2.3 六进制计数器原理图

5.2.4 二十四进制计数器模块

二十四进制计数器模块CNT24.vwf设计、连接、封装后的原理图如下

图5.2.4 二十四进制计数器原理图

5.2.5 7段LED显示驱动模块

7段LED显示驱动模块LED_DRIV.vwf设计、连接、封装后的原理图如下

图5.2.5 7段LED显示驱动模块原理图

6. 硬件设计

6.1 总体实体图

图6.1 数字钟总体实体图

6.2 各模块实体图

6.2.1 十进制计数器模块

十进制计数器模块的实体图如下图所示

图6.2.1 十进制计数器实体图6.2.2 六进制计数器模块

六进制计数器模块的实体图如下图所示

图6.2.2 六进制计数器实体图6.2.3 二十四进制计数器模块

二十四进制计数器模块的实体图如下图所示

图6.2.3 二十四进制计数器实体图6.2.4 7段LED显示驱动模块

7段LED显示驱动模块的实体图如下图所示

图6.2.5 7段LED显示驱动模块实体图6.3 总体实体图

总体电路的实体图如下图所示

图6.3 总体实体图7. 流程图设计

图7 数字钟设计的流程图

8. 模块设计实现

8.1 建立顶层模块

8.1.1 新建dianzizhong.工程

运行QuartusII软件,执行菜单命令“File” →“New Project Wizard”,在弹出的“New Project Wizard”对话框里选择项目和文件的保存路径→输入项目名称及文件名称dianzizhong

执行菜单命令“File” →“New”,在“New”对话框的“Design File”项中选择“VHDL File” →单击“OK”按钮→在VHDL程序编辑窗口中输入顶层模块VHDL 程序→保存为“dianzizhong.vhd”。

8.1.2 建立VHDL源程序

顶层模块程序的实体中应定义时钟脉冲输入端(CLK)、设置时间使能端(SET)、时间调整输入端(包括时、分、秒的高位和低位)、时钟数据显示输出端(包括时、分、秒的高位和低位)。

由于十进制计数模块和六进制计数模块会产生技术溢出信号(CARRY_OUT),而这些溢出信号有可能作为下一计数模块的时钟输入脉冲(CLK),因此在顶层模块程序的结构体中需要定义相应的暂存计数溢出信号(CARRY1~CARRY4)。3个计数模块的输出将为4位,这些4位输出的数据作为LED驱动模块的显示内容,所以也需定义一些4位输出信号。顶层模块程序编写如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY dianzizhong IS

PORT(CLK:IN STD_LOGIC;

SET:IN STD_LOGIC;

DIN_S_L:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

DIN_S_H:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

DIN_M_L:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

DIN_M_H:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

DIN_H_L:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

DIN_H_H:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

CQ_S_L:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);

CQ_S_H:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);

CQ_M_L:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);

CQ_M_H:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);

CQ_H_L:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);

CQ_H_H:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)

);

END dianzizhong;

ARCHITECTURE ART OF dianzizhong IS

COMPONENT CNT10

PORT( CLK:IN STD_LOGIC;

SET:IN STD_LOGIC;

DIN:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

CARRY_OUT:OUT STD_LOGIC);

END COMPONENT CNT10;

COMPONENT CNT6

PORT( CLK:IN STD_LOGIC;

SET:IN STD_LOGIC;

DIN:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

CARRY_OUT:OUT STD_LOGIC);

END COMPONENT CNT6;

COMPONENT CNT24

PORT( CLK:IN STD_LOGIC;

SET:IN STD_LOGIC;

DIN_H:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

DIN_L:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

CQ_H:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

CQ_L:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END COMPONENT CNT24;

COMPONENT LED_DRIV IS

PORT(DIN:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

DOUT:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)

);

END COMPONENT LED_DRIV;

SIGNAL CARRY1:STD_LOGIC;

SIGNAL CARRY2:STD_LOGIC;

SIGNAL CARRY3:STD_LOGIC;

SIGNAL CARRY4:STD_LOGIC;

SIGNAL CQI_S_L:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL CQI_S_H:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL CQI_M_L:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL CQI_M_H:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL CQI_H_L:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL CQI_H_H:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN

U0:CNT10 PORT MAP(CLK=>CLK,SET=>SET,DIN=>DIN_S_L, CQ=>CQI_S_L,CARRY_OUT=>CARRY1);

U2:CNT6 PORT MAP(CLK=>CARRY1,SET=>SET,DIN=>DIN_S_H,

CQ=>CQI_S_H,CARRY_OUT=>CARRY2);

U3:CNT10 PORT MAP(CLK=>CARRY2,SET=>SET,DIN=>DIN_M_L, CQ=>CQI_M_L,CARRY_OUT=>CARRY3);

U4:CNT6 PORT MAP(CLK=>CARRY3,SET=>SET,DIN=>DIN_M_H,

CQ=>CQI_M_H,CARRY_OUT=>CARRY4);

U5:CNT24 PORT MAP(CLK=>CARRY4,SET=>SET,DIN_H=>DIN_H_H, DIN_L=>DIN_H_L,CQ_H=>CQI_H_H,CQ_L=>CQI_H_L);

U6:LED_DRIV PORT MAP(DIN=>CQI_S_L,DOUT=>CQ_S_L);

U7:LED_DRIV PORT MAP(DIN=>CQI_S_H,DOUT=>CQ_S_H);

U8:LED_DRIV PORT MAP(DIN=>CQI_M_L,DOUT=>CQ_M_L);

U9:LED_DRIV PORT MAP(DIN=>CQI_M_H,DOUT=>CQ_M_H);

U10:LED_DRIV PORT MAP(DIN=>CQI_H_L,DOUT=>CQ_H_L);

U11:LED_DRIV PORT MAP(DIN=>CQI_H_H,DOUT=>CQ_H_H);

END ART;

8.2 建立十进制计数器模块

8.2.1 新建CNT10.工程

运行QuartusII软件,执行菜单命令“File” →“New Project Wizard”,在弹出的“New Project Wizard”对话框里选择项目和文件的保存路径→输入项目名称及文件名称CNT10。

执行菜单命令“File” →“New”,在“New”对话框的“Design File”项中选择“VHDL File” →单击“OK”按钮→在VHDL程序编辑窗口中输入顶层模块VHDL 程序→保存为“CNT10.vhd”。

8.2.2 建立VHDL源程序

使用VHDL编写程序时,在实体中应定义时钟脉冲输入端(CLK)、设置时间使能端(SET)、预置数据输入端(DIN)、计数脉冲输出端CQ和计数脉冲溢出端(CARRY_OUT)。十进制计数器模块程序编写如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT10 IS

PORT( CLK:IN STD_LOGIC;

SET:IN STD_LOGIC;

DIN:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

CARRY_OUT:OUT STD_LOGIC);

END CNT10;

ARCHITECTURE ART OF CNT10 IS

SIGNAL CQI:STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

PROCESS(CLK,SET,DIN)

BEGIN

IF SET='1'THEN

CQI<=DIN;

CARRY_OUT<='0';

ELSIF CLK'EVENT AND CLK='1'THEN

IF CQI="1001" THEN

CQI<="0000";

CARRY_OUT<='1';

ELSE

CQI<=CQI+'1';

CARRY_OUT<='0';

END IF;

END IF;

END PROCESS;

CQ<=CQI;

END ART;

8.3 建立六进制计数器模块

8.3.1 新建CNT6.工程

运行QuartusII软件,执行菜单命令“File” →“New Project Wizard”,在弹出的“New Project Wizard”对话框里选择项目和文件的保存路径→输入项目名称及文件名称CNT6。

执行菜单命令“File” →“New”,在“New”对话框的“Design File”项中选择“VHDL File” →单击“OK”按钮→在VHDL程序编辑窗口中输入顶层模块VHDL 程序→保存为“CNT6.vhd”。

8.3.2 建立VHDL源程序

使用VHDL编写程序时,在实体中应定义时钟脉冲输入端(CLK)、设置时间使能端(SET)、预置数据输入端(DIN)、计数脉冲输出端CQ和计数脉冲溢出端(CARRY_OUT)。六进制计数器模块程序编写如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT6 IS

PORT( CLK:IN STD_LOGIC;

SET:IN STD_LOGIC;

DIN:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

CARRY_OUT:OUT STD_LOGIC);

END CNT6;

ARCHITECTURE ART OF CNT6 IS

SIGNAL CQI:STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

PROCESS(CLK,SET,DIN)

BEGIN

IF SET='1'THEN

CQI<=DIN;

CARRY_OUT<='0';

ELSIF CLK'EVENT AND CLK='1'THEN

IF CQI="0101" THEN

CQI<="0000";

CARRY_OUT<='1';

ELSE

CQI<=CQI+'1';

CARRY_OUT<='0';

END IF;

END IF;

END PROCESS;

CQ<=CQI;

END ART;

8.4 建立二十四进制计数器模块

8.4.1 新建CNT24.工程

运行QuartusII软件,执行菜单命令“File” →“New Project Wizard”,在弹出的“New Project Wizard”对话框里选择项目和文件的保存路径→输入项目名称及文件名称CNT24。

执行菜单命令“File” →“New”,在“New”对话框的“Design File”项中选择“VHDL File” →单击“OK”按钮→在VHDL程序编辑窗口中输入顶层模块VHDL 程序→保存为“CNT24.vhd”。

8.4.2 建立VHDL源程序

使用VHDL编写程序时,在实体中应定义时钟脉冲输入端(CLK)、设置时间使能端(SET)、预置数据输入端(DIN_H,DIN_L)、计数脉冲输出端(CQ_H,CQ_L)。二十四进制计数器模块程序编写如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

用VHDL实现数字时钟的设计[1]

收稿日期:2007-06-04 第一作者 刘竹林 男 27岁 助教 用V HDL 实现数字时钟的设计 刘竹林 李晶骅 (十堰职业技术学院电子工程系,湖北十堰442000) 摘 要:以一款数字钟设计为例,较详细的介绍了如何用VHDL 语言设计数字电路,并给出了部分程序、仿真 波形图,并在MAX +plusII 中进行编译、仿真、下载。由此说明利用VHDL 开发数字电路的优点。 关键词:VHDL ;设计;数字钟;应用电路中图分类号:TN953 文献标识码:A 0 引言 VHDL 硬件描述语言在电子设计自动化(EDA )中扮演 着重要的角色,它的出现极大的改变了传统的设计方法、设 计过程乃至设计观念。由于采用了“自顶向下” (Top 2Down )的全新设计方法,使设计师们摆脱了大量的辅助设计工作, 而把精力集中于创造性的方案与概念构思上,用新的思路来发掘硬件设备的潜力,从而极大地提高了设计效率,缩短了产品的研制周期。 这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后用综合优化工具生成具体门电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这不仅有利于早期发现结构设计上的错误,避免设计工作的浪费,而且也减少了逻辑功能仿真的工作量,提高了设计的一次成功率。 1 用V HDL 设计一款数字钟 我们设计的数字时钟原理框图如图1。其基本功能划 分为:计数模块(包括秒、分、时)、译码模块、扫描显示控制模块。计数模块由两个60进制计数器和一个24进制计数器组成,分别对秒、分、小时进行计数,当计数到23点59分59秒的时候,即一天结束,计数器清零, 新的一天重新开始计数。 图1 数字时钟原理框图 秒计数器的计数时钟信号为1Hz 的标准信号,可以由系 统板上提供的4MHz 信号通过222分频得到。秒计数器的进位输出信号作为分钟计数器的计数信号,分钟计数器的进位输出信号又作为小时计数器的计数信号。设计一个同时显示时、分、秒6个数字的数字钟,则需要6个七段显示器。若同时点亮这6个七段显示器,则电路中会产生一个比较大的电流,很容易造成电路烧坏,我们通过扫描电路来解决这一问题,通过产生一个扫描信号CS (0)-CS (5)来控制6个七段显示器,依次点亮6个七段显示器,也就是每次只点亮一个七段显示器。只要扫描信号CS (0)-CS (5)的频率超过人的眼睛视觉暂留频率24Hz 以上,就可以达到尽管每次点亮单个七段显示器,却能具有6个同时显示的视觉效果,而且显示也不致闪烁抖动。 其中6位扫描信号一方面控制七段显示器依次点亮,一方面控制6选1选择器输出相应显示数字。 2 模块设计 2.1 VHDL 语言的基本结构 一个独立的设计实体通常包括:实体(EN TIT Y )、结构体(ARCHITECTURE )、配置(CONFIGURA TION )、包集合(PACKGE )、和库(L IBRAR Y )5个部分。其中实体用于描述所设计的系统的外部接口信号;构造体用于描述系统内部的结构和行为;建立输入和输出之间的关系;配置语句安装具体元件到实体—结构体对,可以被看作是设计的零件清单;包集合存放各个设计模块共享的数据类型、常数和子程序等;库是专门存放预编译程序包的地方。VHDL 程序设计基本结构如图2 。 图2 VHDL 程序设计基本结构 2.2 各模块的实现 2.2.1 计数模块(建立VHDL 语言的工程文件) 计数模块由两个60进制计数器和一个24进制计数器组成,分别对秒、分、小时进行计数。其VHDL 源程序相差不大由于篇幅有限,这里我们以秒模块的实现为例。程序如下: library ieee ; use ieee.std -logic -1164.all ;entity counter -60-bcd is 山西电子技术 2008年第1期 应用实践

基于FPGA的数字时钟设计毕业设计论文

摘要 本设计为一个多功能的数字时钟,具有时、分、秒计数显示功能,以24小时循环计数;具有校对功能。本设计采用EDA技术,以硬件描述语言Verilog HDL为系统逻辑描述语言设计文件,在QUARTUSII工具软件环境下,采用自顶向下的设计方法,由各个基本模块共同构建了一个基于FPGA的数字钟。 系统由时钟模块、控制模块、计时模块、数据译码模块、显示以及组成。经编译和仿真所设计的程序,在可编程逻辑器件上下载验证,本系统能够完成时、分、秒的分别显示,按键进行校准,整点报时,闹钟功能。 关键词:数字时钟,硬件描述语言,Verilog HDL,FPGA

Abstract The design for a multi-functional digital clock, with hours, minutes and seconds count display to a 24-hour cycle count; have proof functions function. The use of EDA design technology, hardware-description language VHDL description logic means for the system design documents, in QUAETUSII tools environment, a top-down design, by the various modules together build a FPGA-based digital clock. The main system make up of the clock module, control module, time module, data decoding module, display and broadcast module. After compiling the design and simulation procedures, the programmable logic device to download verification, the system can complete the hours, minutes and seconds respectively, using keys to cleared , to calibrating time. And on time alarm and clock for digital clock. Keywords:digital clock,hardware description language,Verilog HDL,FPGA

《数字逻辑》数字时钟课程设计报告资料

《数字逻辑》课程设计报告 题目数字时钟 学院(部)信息工程学院 专业计算机科学与技术 班级计算机一班 学生姓名 学号20132402 6 月29 日至 7 月 3 日共1 周 指导教师(签字)

题目 一.摘要: 钟表的数字化给人们的生产生活带来了极大的方便,并且极大的扩展了钟表原先的报时功能。诸如定时自动报有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常警、学校的按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯,甚至各种定时电气的自启用等。所现实的意义。本次数电课设我组设计的数字时钟是由石英晶体振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路和计时电路组成,石英晶体振荡器产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器在七段显示器上显示时间。 二.关键词: 校时计时报时分频石英晶体振荡器 三.技术要求: 1、有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能; 2、有计时功能,时钟不会在计时的时候停下。计时范围是0~99秒; 3、有闹铃功能,闹铃响的时间由使用者自己设置,闹铃时间至少一分钟; 4、要在七段显示器(共阴极6片)显示时间; 5、电子钟要准确正常地工作。 四、方案论证与选择: 钟表的是长期使用的器件,误差容易积累由此增大。所以要求分频器产生的秒脉冲要极其准确。而石英晶体产生的信号是非常稳定的,所以我们使用石英晶体产生的信号经过分频电路作为秒脉冲。秒脉冲信号经过6级计数器,分别得到“秒”、“分”、“时”的个位、十位的计时。由实际的要求,“秒”、“分”计数器为60进制的计数器,小时为24进制。由于74LS160十进制加法计数器易于理解使用,我们在设计各个计数器时都是由采用74LS160芯片级联构成。在计时部分,最小单位是0.01s,我们采用555多谐振荡器产生100HZ的信号作为秒脉冲进入一个4级计数器,计时范围是0~99秒。石英晶体

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

毕业设计论文_单片机电子时钟的设计

单片机电子时钟的设计 摘要 单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。单片机体积小、重量轻、抗干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发较为容易。由于具有上述优点,在我国,单片机已广泛地应用在工业自动化控制、自动检测、智能仪器仪表、家用电器、电力电子、机电一体化设备等各个方面,而51单片机是各单片机中最为典型和最有代表性的一种。这次毕业设计通过对它的学习、应用,以AT89S51芯片为核心,辅以必要的电路,设计了一个简易的电子时钟,它由4.5V直流电源供电,通过数码管能够准确显示时间,调整时间,从而到达学习、设计、开发软、硬件的能力。 关键词:单片机 AT89S51 电子时钟数码管

Design of the singlechip electronics clock Abstract Single slice machine from published in 70's for 20 centuries, is compare with its very high function price, is value by people and pay attention to, apply very widely, develop very quickly. Single slice the machine physical volume is small,the weight is light,the anti- interference ability is strong,the environment haven't high request,the price is cheap,the credibility is high,vivid good,develop more easy. In order to having an above-mentioned advantage, at the our country, single slice the machine is broadly applied already to turn an equipment at industrial automation control,automatic examination,intelligence instrument appearance,home appliances,electric power electronics,the machine electricity integral whole etc. each aspect, but 51 machines is is a typical model most and have a representative most in each machine of a kind. This graduation design passes to its study and application, Take the AT89S51 chips as core, assist with the electric circuit of the necessity, design a simple electronics clock, it from the 4.5 V direct current power supply power supply, pass the figures tube can accurate manifestation time, adjust time。Arrive a study and design, develop thus soft,the ability of the hardware . Keywords:MCU AT89S51electronics clock digital tube

数字时钟课程设计方案设计方案

课程设计题目名称:数字时钟 专业名称:电气工程及其自动化班级: ******** 学号: *******8 学生姓名: ******* 任课教师: *******

《电子技术课程设计》任务书

2.对课程设计成果的要求〔包括图表(或实物)等硬件要求〕:设计电路,安装调试或仿真,分析实验结果,并写出设计说明书,语言流畅简洁,文字不得少于3500字。要求图纸布局合理,符合工程要求,使用Protel软件绘出原理图(SCH)和印制电路板(PCB),器件的选择要有计算依据。 3.主要参考文献:⑴《电子技术课程设计指导》彭介华编,高等教育出版社,1997年10月 ⑵《数字电子技术》康华光编著高等教育出版社, 2001年 要求按国标GB 7714—87《文后参考文献著录规则》书写。 4.课程设计工作进度计划: 序号起迄日期工作内容 初步设想和资料查询,原理图的绘画 1 2015.11.18-2015.12.21 仿真调试,元件参数测定,实物的拼接与测试 2 2015.12.21-2016.1.8 叙写设计报告,总结本次设计,论文提交 3 2016.1.8-2016.1.18 主指导教师日期:年月日

摘要 数字时钟已成为人们日常生活中必不可少的必需品,广泛于个人家庭以及办公室等公共场所,给人们的生活、学习、工作、娱乐带来了极大的方便。并且数字时钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。报告围绕此次数字钟的设计进行介绍、总结,包含了设计的步骤,前期的准备,装配的过程。在实装时,采用了74LS90进行计数,用CD4060产生秒脉冲,CD4511进行数码管转换显示,还要考虑电路的校时、校分,每块芯片各设计为几进制等等,最后实现了数字钟设计所要求的各项功能:时钟显示功能;快速校准时间的功能。 关键字:数字时钟校时CD4511

数字电子时钟课程设计

数字电子技术基础课程设计报告 班级:姓名: 学号: 一、设计目的 1掌握专业基础知识的综合能力。 2完成设计电路的原理设计、故障排除。 3逐步建立电子系统的研发、设计能力,为毕业设计打好基础。 4让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法。 5进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 6培养书写综合实验报告的能力。 二、设计仪器 1 LM555CH 2 74LS161N 74LS160N 74LS290 3 74LS00 74LS08 4 电源电阻电容二极管接地等 三数字电子钟的基本功能及用途 现在数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性

能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点,,因此在许多电子设备中被广泛使用。 电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。 多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点。电路装置十分小巧,安装使用也方便。同时在日期中,它以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱。 四设计原理及方框图 数字钟实际上是一个对标准频率进行计数的计数电路,标准的1HZ时间信号必须做到准确稳定。由图可见:本数字钟电路主要由震荡器、、时分秒计数器、译码显示器构成。它们的工作原理是:由震荡器产生的高频脉冲信号作为数字钟的时间基准,送入秒计数

数字电子钟设计毕业论文

数字电子钟设计毕业论文 目录 论文摘要 (1) 关键词:数字电路集成电路逻辑电路 (1) Abstract (2) 目录 (3) 第1章数字电子钟设计总体方案 (5) 1.1.1数字计时器的设计思想 (5) 1.1.2数字电子钟组成框图 (6) 1.1.3 单元电路设计 (6) 第2章数字逻辑电路概述 (9) 2.1 数字电路的特点 (9) 2.2 数制 (10) 2.2.1十进制 (10) 2.2.2 二进制 (10) 2.2.3 十六进制 (11) 2.2.4 不同进制数的表示符号 (12) 2.3 不同进制数之间的转换 (12) 2.3.1 二、十六进制数转换成十进制数 (12) 2.3.2 二进制与十六进制数之间的转换 (12) 2.3.3 十进制数转换成二、十六进制数 (13) 2.4 二进制代码 (15) 2.4.1 自然二进制代码 (15) 2.4.2 二–十进制代码(BCD码) (15) 2.5基本逻辑运算 (16) 2.5.1 与逻辑运算 (16) 2.5.2 或逻辑运算 (17) 2.5.3 非逻辑运算 (18) 第3章逻辑门电路 (19) 3.1 基本逻辑门电路 (19) 3.1.1 与门电路 (19) 3.1.2 或门电路 (20) 3.1.3 非门电路 (21) 3.1.4 复合逻辑门 (22) 第4章组合逻辑电路 (24) 4.1 组合逻辑电路的分析与设计 (24) 4.1.1 组合逻辑电路的分析 (24) 4.1.2 组合逻辑电路的设计 (26) 4.2 编码器 (29)

4.2.1 编码器的工作原理 (29) 4.3译码器和数字显示电路 (32) 4.3.1 二进制译码器 (32) 4.3.2 显示译码器 (34) 第5章触发器 (37) 5.1 RS触发器 (37) 5.1.1 基本RS触发器 (37) 5.1.2 同步RS触发器 (39) 5.2 JK、D、T触发器 (40) 5.2.1 JK触发器 (40) 5.2.2 D触发器 (42) 5.2.3 T触发器 (43) 第6章时序逻辑电路 (44) 6.1 时序逻辑电路的基本概念 (44) 6.1.1 时序逻辑电路的基本结构及特点 (44) 6.1.2 时序逻辑电路的分类 (45) 6.2 时序逻辑电路的分析 (45) 6.2.1 分析时序逻辑电路的步骤 (45) 6.2.2 同步时序逻辑电路的分析及应用 (45) 6.2.3 异步时序逻辑电路的分析及应用 (48) 6.3 同步时序电路的设计 (50) 6.3.1 同步时序逻辑电路设计的步骤 (51) 6.3.2 同步时序逻辑电路设计的应用 (52) 6.4计数器 (56) 6.4.1 二进制计数器 (56) 6.4.2 同步十进制加法计数器 (58) 6.5 脉冲信号的产生 (60) 6.5.1 由与非门组成的多谐振荡器 (60) 6.5.2 石英晶体时钟脉冲发生器 (61) 结论 (63) 谢辞 (64) 参考文献 (65)

电子时钟课程设计55026

. 单片机课程设计题目:电子时钟 班级: 姓名: 学号: 指导教师: 设计时间:

.

摘要 针对数字时钟的问题,利用8051单片机,proteus软件,vw(伟福)等软件,运用单片机中定时计数器T0,中断系统以及按键的控制实现了电子时钟的设计。设计的电子时钟通过数码管显示,并能通过按键的设计实现小时与分钟的调整。时间的启动与暂停等等。 关键字:数字时钟;单片机;定时计数器 .

1 引言 时钟,自他发明的那天起,就成为人类的朋友,但随着时间的推移,科学技术不断的发展,人们对时间计量的进度要求越来越高,应用越来越广。怎样让时钟更好地为人类服务,怎样让我们的老朋友焕发青春呢?这就要求人们不断设计出新型时钟。 现金,高精度的计时工具大多数都使用了石英晶体振荡器,由于电子钟,石英表,石英钟都使用了石英技术,因此走时精度高,稳定性好,使用方便,不需要经常调校,数字式电子钟用集成电路计时时,译码代替机械式传动,用LED显示器代替指针显示器,减小了计时误差,这种表具有时、分、秒显示的功能,还可以进行时、分的校对,片选的灵活性好。 时钟电路在计算机系统中起着非常重要的作用,是保证系统正常工作的基础。在一个单片机应用系统中,时钟有两方面的含义:一是指为保障系统正常工作的基准震荡定时信号,主要由晶振和外围电路组成,晶振频率的大小决定了单片机系统工作的快慢;二是指系统的标准定时时钟,及定时时间,它通常有两种方法实现:一是软件实现,即用单片机内部的可编程定时/计数器来实现,但误差很大,主要用在对时间精度要起不高的场合;二是用专门的时钟芯片实现,在对时间精度要求很高的情况下,通常采用这种方法。本文主要介绍用单片机内部的定时计数器来实现电子时钟的方法,以单片机为核心,辅以必要电路,构成了一个单片机电子时钟。 单片机应用系统由硬件系统和软件系统两部分组成。硬件系统是指单片机以及扩展的存储器、I\O接口、外围扩展的功能芯片以及接口电路。软件系统包括监控程序和各种应用程序。 在单片机应用系统中,单片机是整个系统的核心,对整个系统的信息输入、处理、信息输出进行控制。与单片机配套的有相应的复位电路、时钟电路以及扩展的存储器和I\O接口,使单片机应用系统能够运行。 在一个单片机应用系统中,往往都会输入信息和显示信息,这就涉及键盘和显示器。在单片机应用系统中,一般都根据系统的要求配置相应的键盘和显示器。配置键盘和显示器一般都没有统一的规定,有的系统功能复杂,需输入的信息和显示的信息量大,配置的键盘和显示器功能相对强大,而有些系统输入/输出的信息少,这时可能用几个按键和几个LED指示灯就可以进行处理了。在单片机应用系统在中配置的键盘可以是独立键盘,也可能是矩阵键盘。显示器可以是LED指示灯,也可以是LED数码管,也可 .

数字钟课程设计

数字逻辑电路课程设计 课题:数字钟 姓名:刘亮 班级:通信2班 学号:21 成绩: 指导教师:查根龙 开课时间: 2014-2015学年第2学期

摘要 (1) ABSTRACT (2) 第1章设计背景 (3) 1.1设计任务 (3) 1.2设计要求 (3) 1.3 设计目的 (3) 第2章课程设计方案 (4) 2.1 数字钟的基本组成和工作原理 (4) 2.2 振荡电路 (5) 2.3 分频电路 (6) 2.4时分秒计数电路 (7) 2.5 校时校分功能 (10) 2.6整点报时电路 (10) 2.7上下午显示电路 (11) 第三章课程总结 (12) 第四章参考文献 (13) 第五章附件 (14) 5.1 电路原理图 (14) 5.2 元器件清单 (14)

摘要 电子钟在现代社会已经使用的非常广泛,伴随着数字电路技术的发展,数字钟的出现,更加方便了大家的生活,同时也大大地促进了社会的进步。数字电路具有电路简单、可靠性高、成本低等优点,本设计就以数字电路为核心设计智能电子钟。 数字钟就是由电子电路构成的计时器。是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和、报时、上下午显示等附加功能。主电路系统由秒信号发生器、时、分、秒计数器,译码器及显示器,校时电路,上下午显示,整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。秒信号产生器将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24和12小时的累计。计数器用的是74160。译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器译码,通过六位LED七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。校时电路时用来对“时”、“分”、“秒”显示数字进行校对调整的 关键词:计时器;计数;译码;报时;校时校分

多功能数字钟的设计与实现

多功能数字钟的设计与实现一、实验目的 1.掌握数字钟的设计原理。 2.用微机实验平台实现数字钟。 3.分析比较微机实现的数字钟和其他方法实现的数字钟。 二、实验内容与要求 使用微机实验平台实现数字钟。 1.基本要求如下: 1) 24 小时制时间显示。 2) 3) 4)可以随时进行时间校对。 整点报时。 闹钟功能,要求设置起闹时间时,不影响时钟的正常走时。 2.提高要求 1) 2)校时时相应位闪烁。能够设置多个起闹点。 三、实验报告要求 1.设计目的和内容 2.总体设计 3.硬件设计:原理图(接线图)及简要说明 4.软件设计框图及程序清单 5.设计结果和体会(包括遇到的问题及解决的方法) 四、系统总体设计 根据设计要求,初步思路如下: 1)计时单元由定时/计数器8253的通道0 来实现。定时采用硬件计数和软件技术相结合的方式,即通过8253产生一定的定时时间,然后再利用软件进行计数,从而实现24小时制定时。8253定时时间到了之后产生中断信号,8253在中断服务程序中实现时、分、秒的累加。 2)时间显示采用实验平台上的6个LED数码管分别显示时、分、秒,采用动态扫描方式实现。 3)校时和闹铃定时通过键盘电路和单脉冲产生单元来输入。按键包括校时键、闹钟定时键、加1键和减1键等。

4) 报警声响用蜂鸣器产生,将蜂鸣器接到 8255 的一个端口,通过输出电平的高低来 控制蜂鸣器的发声。 系统硬件设计主要利用微机实验平台上的电路模块。硬件电路主要由键盘电路、 单脉冲产生单元、8253 定时计数器、8255 并行接口单元、8259 中断控制器、LED 显 示电路和蜂鸣器电路等等。系统的硬件电路设计框图如图 1 所示。 图 1 硬件电路框图 五、硬件设计 根据设计思路,硬件电路可通过实验平台上的一些功能模块电路组成,由于实验平台 上的各个功能模块已经设计好,用户在使用时只要设计模块间电路的连接,因此,硬件电 路的设计及实现相对简单。完整系统的硬件连接如图 2 所示。硬件电路由定时模块、按键 模块、数码管显示模块和蜂鸣器模块组成。 Q6 路 图 2 系统硬件电路图 微机系统 8253 8255 8259 数码管显示 电路 键盘电路 蜂鸣器电路 单脉冲发生 单元 单脉冲发 生单元 键盘电路 8255 PA0 PB0 PC 8253 OUT0 GATE0 Clk0 地址 CS1 译码 CS2 电路 CS3 CS4 数码管显示模块 8259 IRQ2 IRQ3 IRQ5 PC BUS 蜂鸣器 单脉冲发 生单元

基于单片机的电子钟设计毕业论文。。

基于单片机的电子时钟设计 摘要 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 现代生活的人们越来越重视起了时间观念,可以说是时间和金钱划上了等号。对于那些对时间把握非常严格和准确的人或事来说,时间的不准确会带来非常大的麻烦,所以以数码管为显示器的时钟比指针式的时钟表现出了很大的优势。数码管显示的时间简单明了而且读数快、时间准确显示到秒。而机械式的依赖于晶体震荡器,可能会导致误差。 数字钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。数字钟的精度、稳定度远远超过老式机械钟。在这次设计中,我们采用LED数码管显示时、分、秒,以24 小时计时方式,根据数码管动态显示原理来进行显示,用12MHz的晶振产生振荡脉冲,定 时器计数。在此次设计中,电路具有显示时间的其本功能,还可以实现对时间的调整。数字钟是其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱,因此得到了广泛的使用。 关键字:数字电子钟单片机 数字电子钟的背景 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。 目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展。下面是单片机的主要发展趋势。单片机应用的重要意义还在于,它从根本上改变了传统的控制系统设计思想和设计方法。从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法

(完整版)数字电路课程设计--数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“ 时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24 小时,显示满刻度为23 时59 分59 秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时” 、“分”、“秒” 的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555 震荡器,74LS90 及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。此数字钟能显示“时、分、秒”的功能,它的计时周期是24 小时,最大能显示23 时59 分59 秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 2)系统框图

系统方框图 1 (3)系统组成。 1.秒发生器:由555 芯片和RC 组成的多谐振荡器,其555 上3 的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03 中的4 个与非门和相应的开关和电阻构成。 3.计数器:由74LS90 中的与非门、JK 触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90 与74LS08 相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD 锁存译码器4511,接受74LS90 来的信号,转换为7 段的二进制数。

5.显示模块:由7 段数码管来起到显示作用,通过接受CD4511 的信号。本次选用的是共阴型的CD4511 。 二、各部分电路原理。 1.秒发生器:555 电路内部(图2-1)由运放和RS 触发器共同组成,其工作原理由8处接VCC ,C1 处当 Uco=2/3Vcc>u11 时运放输出为1,同理C2 也一样。最终如图3 接口就输出矩形波,而形成的秒脉冲。 图 2-2 555 功能表 2.校时模块:校时模块主要由74LS03中的4个与非门构成(图2-3),由其功能图看得出只要有一个输入端由H 到L 或者从L 到H 都会使输出端发生高低变化。因此通过开关的拨动产生高低信号从而对时、分处的计数器起到调数作用。

EDA数字时钟课程设计报告

EDA技术及应用课程设计说明书 2013 届电子信息工程专业班级 题目数字时钟 学号 姓名 指导教师 二О一五年月日

一、基本原理 一个完整的时钟应由三部分组成:秒脉冲发生电路、计数显示部分和时钟调整部分。 秒脉冲发生电路原理:一个时钟的准确与否主要取决于秒脉冲的精确度。为了保证计时准确我们对系统时钟48MHz进行了48000000分频,从而得到1Hz的秒脉冲。 计数显示部分原理:显示部分是用数码管LED实现的,这里使用的是共阳极的数码管如图所示8个数码管,其中左边两个数码管用来显示时的个位和十位、中间的显示分的个位和十位、最右边两个显示分的个位和十位。 时钟调整部分原理:校时电路里定义key[0]、key[1]和k2、k3分别用于控制时钟的计时开始、清零和调整功能中的时的加1、分的加1处理,从而完成对现在的时间调整。本实验电路校时电路在此完成了暂停、清零、时调整和分调整。

二、硬件设计 芯片图: 图1 数字时钟原理图 程序的调试工作都是在电脑上完成的,通过程序的输入、原理图的建立、管脚分配、编译、仿真、再下载到芯片进行运行。

电路中采用共阳极连接的七段数码管,通过程序的控制扫描驱动来显示时钟的时-分-秒。

程序中的按键设定为K1暂停、K2清零、K3调时、K4调分元件清单: 三、数字时钟的Verilog实现 管脚的分配: 程序: module clock(clk,s1,,s2,key,dig,seg); //模块名clock input clk,s1,s2; //输入时钟 input[1:0]key; //输入按键 output[7:0]dig; //数码管选择输出引脚

数字时钟的设计与仿真

湖北民族学院 课程设计报告 数字时钟设计与仿真 课程:电子线路课程设计 专业:电子信息科学与技术 班级: 0312409 学号: 031240910 学生姓名:谢加龙 指导教师:易金桥 2014年 06月 21日

信息工程学院课程设计任务书 2014-06-21

摘要 基于单片机AT89c51设计而成的简易数字电子时钟,其主要功能皆由对单片机编程即由软件完成,外围硬件电路只包括报时电路、键盘输入电路和显示电路三个模块。具有外围硬件电路简单、运行功能可靠的优点。 关键词:单片机时钟键盘输入显示

目录 1、系统设计要求 (1) 1.1 基本功能 (1) 1.2扩展功能 (1) 2、硬件设计 (2) 2.1系统设计方案选择 (2) 2.2系统原理框图 (2) 2.3各单元的功能描述 (2) 2.4电路连接图 (2) 2.5元器件清单列表 (2) 2.6所用芯片的管脚图 (2) 3、软件设计 (3) 3.1主程序的流程图 (3) 3.2键盘扫描程序流程图 (3) 3.3发声程序流程图 (3) 3.4总程序 (3) 4、调试 (4) 4.1仿真调试 (4) 4.2硬件调试 (4) 5、总结 (5) 参考文献 (6)

1、系统设计要求 1.1 基本功能 (1)、要求准确显示“时”、“分”、“秒”,24 小时制; (2)、具有整点报时功能,在每小时59 分51 秒、53 秒、55 秒、57 秒发出低音,59秒整发出高音; (3)、系统工作符合一般时钟要求。 1.2扩展功能: (1)、具有校时功能,用户可修改“时”、“分”,且互不影响; (2)、可切换12 小时制和24 小时制。

基于51单片机的数字时钟的设计毕业论文

基于51单片机的数字时钟的设计毕业论 文 目录 摘要: (1) ABSTRACT (1) 1 绪论 (1) 2 硬件总体设计方案 (2) 2.1系统功能实现总体设计思路 (2) 2.2各部分功能实现 (2) 2.3系统工作原理 (2) 2.4电路功能使用说明 (3) 2.5系统的设计方案 (4) 2.5.1 系统的电路图和原理图 (4) 2.5.2 单片机概述 (6) 2.5.3 键盘电路 (9) 2.5.4 显示电路 (10) 2.6硬件焊接准备 (12) 2.6.1电路板的布线 (12) 2.6.2 硬件电路元件明细表 (13) 3 软件总体设计方案 (14) 3.1程序流程图 (14) 3.1.1主程序流程图 (14) 3.1.2 按键处理流程图 (14) 3.1.3 定时器中断流程图 (14) 3.1.4 时间显示流程图 (15) 3.2调试结果说明 (16) 4总结 (18) 致谢 (19)

参考文献 (1) 附录 (21)

基于51单片机的数字时钟的设计 电子信息科学与技术专业彬 指导教师车晓岩 摘要: 自诞生以来,单片机的应用日趋广泛,应用领域日趋扩展,而MCS-51系列单片机是各单片机中最为典型的一种。这次毕业设计,以AT89C51芯片为核心,通过必要的电路,构成简单的电子时钟。数字时钟系统的主要任务是:采用单片机为核心器件产生24h(24小时)时间,并用LED显示器将他显示出来。另外本设计具有闹铃的功能,通过拉蜂鸣器来做提醒。这样简单的设计,直观反映单片机的应用。软件和硬件的开发是这次设计必不可少的。 关键词:AT89C51;数字时钟;LED数码显示管;C语言

数字时钟课程设计报告

《电子线路课程设计报告》 系另 1」: 机电与自动化学院 专业班级:电气及自动化技术1001 学生姓名:陈星涯 指导教师:梁宗善 i=r (课程设计时 间: 2012年1月3日——2012年1月13日) 华中科技大学武昌分校 1.课程设计目的................................................. 3页 2.课程设计题目描述和要求....................................... 3页 2.1课程设计题目............................................. 3页

2.2课程设计要求............................................. 3页 3. ......................................................................................................... 比较和选定设计的系统方案.................................................... 4页 3.1数字钟的构成............................................. 4页 4.单元电路设计及工作原理....................................... 5页 4.1时基电路................................................. 5页 a. 多谐振荡器的工作原理................................... 5页 4.2计数器................................................... 7页 a.中规模计数器组件介绍.................................. 7页 b.60 进制计数器 .......................................... 8页 C.12 翻1计数器........................................... 9页 4.3译码器................................................... 10页 4.4显示器................................................... 10页 4.5校时电路................................................. 11页 4.6定时控制电路............................................. 12页 4.7仿广播电台正点报时电路................................... 13页 5.调试过程及分析............................................... 14页 5.1显示器故障排查........................................... 14页 5.2计数器调试及分析......................................... 15页 5.3校时电路的调试........................................... 16页 5.4增加抗干扰电路........................................... 16页 5.5闹时电路的调试........................................... 17页 5.6仿广播电台整点报时电路调试............................... 17页 6.课程设计总结................................................. 17页 7.参考文献..................................................... 19页 8.附件一:电子时钟主体电路电路图............................... 20页 9.附件二:扩展电路电路图....................................... 21页 10.附件三:系统所需元器件清单 ................................ 22页 11.课程设计成绩.............................................. 23页 一、设计任务与目的 数字时钟是一种利用数字电路技术实现时、分、秒计时的装置,与传统的

相关文档
最新文档