数字电子技术资料

数字电子技术资料
数字电子技术资料

和逻辑式相等的是()。

C. A

(4分)下列门电路属于双极型的是()

A. OC门

逻辑函数;其对偶函数为()。

B.

已知某触发器的时钟CP,异步置0端为RD,异步置1端为SD,控制输入端Vi和输出Q的波形如下图所示,根据波形可判断这个触发器是()。

D. 上升沿T触发器

下列所给三态门中;能实现C=0时;F=;C=1时;F为高阻态的逻辑功能

的是_________。

A.

(4分)对于钟控RS触发器;若要求其输出“0”状态不变;则输入的RS信号应为()

A. RS=X0

(4分)下列电路中;不属于组合逻辑电路的是()。

C. 寄存器

(4分)不需要外加输入信号而自动产生矩形脉冲信号的是( )。

D. 多谐振荡器

设图中所有触发器的初始状态皆为0;找出图中触发器在时钟信号作用下;输

出电压波形恒为0的是:()图。

C.

(4分)下列几种说法中与BCD码的性质不符的是()

C. BCD码是一组四位二进制数;能表示十六以内的任何一个十进制数。

(4分)为了把串行输入的数据转换为并行输出的数据;可以使用()

C. 移位寄存器

(4分)用触发器设计一个24进制的计数器;至少需要( )个触发器。

D. 5

(4分)为实现“线与”逻辑功能;应选用()。

C. 集电极开路(OC)门

(4分)设某函数的表达式F=A+B;若用四选一数据选择器来设计;则数据端D0D1D2D3的状态是()。(设A为高位)

A. 0111

已知;选出下列()可以肯定使F=1的情况。

D. BC=1;D=1

(4分)函数F=AB+BC;使F=1的输入ABC的组合为( )。

D. ABC=110

(4分)将TTL与非门作非门处理;则多余输入端应作( )处理。

A. 全部接高电平

(4分)用逻辑函数卡诺图化简中;四个相邻项可合并为一项;它能:()

B. 消去2个表现形式不同的变量;保留相同变量

TTL 集成电路74LS138 是3/8线译码器,译码器为输出低电平有效,若输入

为A2 A1 A 0 =101 时,输出:为()。

B. 11011111

TTL与非门的扇出系数(即带同类门的个数)仅决定于其带灌电流负载的能

力。()

答案错

(2分)寄存器属于组合逻辑电路。()

答案错

(2分)钟控RS触发器是脉冲触发方式。()

答案错

(2分)时序电路不含有记忆功能的器件。()

答案错

(2分)CMOS 电路比 TTL 电路功耗大。()

答案错

(2分)三态门的三种状态分别为:高电平、低电平、不高不低的电压。()

答案错

(2分)关门电平UOFF是允许的最大输入高电平。()

答案错

(2分)时钟触发器仅当有时钟脉冲作用时;输入信号才能对触发器的状态产生影响。()

答案对

(2分)用或非门可以实现3种基本的逻辑运算。()

答案对

(2分)CMOS 电路和 TTL 电路在使用时;不用的管脚可悬空()

答案错

下列所给三态门中;能实现C=0时;F=;C=1时;F为高阻态的逻辑功能

的是_________。

A.

(4分)对于钟控RS触发器;若要求其输出“0”状态不变;则输入的RS信号应为()

A. RS=X0

函数的反函数=()

B.

(4分)有一个左移移位寄存器;当预先置入1011后;其串行输入固定接0;在4个移位脉冲CP 作用下;四位数据的移位过程是()。

A. 1011--0110--1100--1000--0000

设图中所有触发器的初始状态皆为0;找出图中触发器在时钟信号作用下;输

出电压波形恒为0的是:()图。

C.

(4分)一个数据选择器的地址输入端有3个时;最多可以有()个数据信号输出。C. 8

(4分)欲对全班43个学生以二进制代码编码表示;最少需要二进制码的位数是()。

B. 6

(4分)函数F(A;B;C)=AB+BC+AC的最小项表达式为:()

B. F(A;B;C)=∑m(3;5;6;7)

已知逻辑函数与其相等的函数为()。

D.

(4分)用555定时器构成单稳态触发器;其输出脉宽为()。

B. 1.1RC

(4分)计算机键盘上有101个键;若用二进制代码进行编码;至少应为()位。

B. 7

图示逻辑电路为( )。

A. “与非”门

图2所示电路中输出函数F的表达式为()

C. (A+B)·C

(4分)N个触发器可以构成最大计数长度(进制数)为( )的计数器。

D. 2N

图示为2个4位二进制数相加的串接全加器逻辑电路图;运算后的C4S4S3S2S1结果

是:()

A. 11000

8线—3线优先编码器的输入为I0—I7 ,当优先级别最高的I7有效时,其输出的值是()。

C. 000

(4分)以下式子中不正确的是()

C. (AB)’=A’B’

(4分)用逻辑函数卡诺图化简中;四个相邻项可合并为一项;它能:()

B. 消去2个表现形式不同的变量;保留相同变量

逻辑函数F(A,B,C) = AB+BC+的最小项标准式为()。

D. F(A;B;C)=∑m(3;4;6;7)

函数的对偶式FD=()

C.

TTL与非门的扇出系数(即带同类门的个数)仅决定于其带灌电流负载的能

力。()

答案错

(2分)一个存在无效状态的同步时序电路是否具有自启动功能;取决于确定激励函数时对无效状态的处理。()

答案对

(2分)因为逻辑表达式A+B+AB=A+B成立;所以AB=0成立。()

答案错

(2分)计数模为2n的扭环计数器所需的触发器为n个。()

答案对

(2分)寄存器属于组合逻辑电路。()

答案错

(2分)如果与非门输入端均为低电平;那么它所带的是拉电流负载。()

答案对

(2分)PLA的与阵列和或阵列均可编程。()

答案对

(2分)卡诺图中;两个相邻的最小项至少有一个变量互反。()

答案对

(2分)JK触发器只有J、K端同时为1;则一定引起状态翻转。()

答案错

(2分)触发器有两个状态;一个是稳态;一个是暂稳态。 ( )

答案错

(4分)对电压、频率、电流等模拟量进行数字处理之前;必须将其进行()

B. A/D转换

(4分)属于组合逻辑电路的部件是()。

A. 编码器

(4分)下列门电路属于双极型的是()

A. OC门

已知某电路的真值表如下,该电路的逻辑表达式为()。

C.

寄存器要存放n位二进制数码时,需要( )个触发器。

A. n

(4分)为实现“线与”逻辑功能;应选用()。

A. 集电极开路(OC)门

(4分)一个数据选择器的地址输入端有3个时;最多可以有()个数据信号输出。

C. 8

(4分)下列几种说法中与BCD码的性质不符的是()

C. BCD码是一组四位二进制数;能表示十六以内的任何一个十进制数。

(4分)函数F(A;B;C)=AB+BC+AC的最小项表达式为:()

B. F(A;B;C)=∑m(3;5;6;7)

已知逻辑函数与其相等的函数为()。

D.

(4分)用触发器设计一个24进制的计数器;至少需要( )个触发器。

D. 5

(4分)为实现“线与”逻辑功能;应选用()。

C. 集电极开路(OC)门

图2所示电路中输出函数F的表达式为()

C. (A+B)·C

(4分)要将方波脉冲的周期扩展10倍;可采用()。

C. 十进制计数器

已知;选出下列()可以肯定使F=1的情况。

D. BC=1;D=1

(4分)将TTL与非门作非门处理;则多余输入端应作( )处理。

A. 全部接高电平

(4分)以下式子中不正确的是()

C. (AB)’=A’B’

(4分)用逻辑函数卡诺图化简中;四个相邻项可合并为一项;它能:()B. 消去2个表现形式不同的变量;保留相同变量

(4分)能够实现线与功能的是:()

B. 集电极开路门

已知真值表如表1所示;则其逻辑表达式为:()

A. A⊕B⊕C

(2分)与 CMOS 电路相比; TTL 电路的主要优点是速度快。()

答案对

(2分)逻辑变量的取值;1比0大。()

答案错

(2分)一个存在无效状态的同步时序电路是否具有自启动功能;取决于确定激励函数时对无效状态的处理。()

答案对

(2分)石英晶体振荡器的振荡频率取决于石英晶体的固有频率。()

答案对

(2分)8421BCD码是唯一能表示十进制数的编码。()

答案错

(2分)数字电路中最基本的运算电路是加法器。()

答案错

(2分)某一门电路有三个输入端A.B.C;当输入A.B.C不全为“1”;输出Y为“0”。输入A.

B.C全为高电平“1”;输出Y 为“1”;此门电路是或门电路。()

答案错

(2分)若两逻辑式相等;则它们对应的对偶式也相等。()

答案对

下图TTL电路逻辑表达式F=A。()

答案错

(2分)一个逻辑函数的全部最小项之积恒等于1。()

答案错

(4分)八进制(273)8中;它的第三位数2 的位权为______。

A. (128)10

(4分)在同步计数器中;各触发器状态改变时刻()

A. 相同

和逻辑式相等的是()。

C. A

(4分)用8421码表示的十进制数65;可以写成()。

C. [01100101]BCD

已知某电路的真值表如下,该电路的逻辑表达式为()。

C.

已知某电路的真值表如下;该电路的逻辑表达式为()。

C.

下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为_________。

C. 1011011

(4分)一只四输入端或非门;使其输出为1的输入变量取值组合有( )种。

A. 15

(4分)JK触发器要实现Q*=1时;J、K端的取值为( )。

C. J=1;K=1

(4分)欲对全班43个学生以二进制代码编码表示;最少需要二进制码的位数是()。

B. 6

(4分)为了把串行输入的数据转换为并行输出的数据;可以使用()

C. 移位寄存器

(4分)用555定时器构成单稳态触发器;其输出脉宽为()。

B. 1.1RC

(4分)为实现“线与”逻辑功能;应选用()。

C. 集电极开路(OC)门

(4分)十六路数据选择器的地址输入(选择控制)端有()个。

C. 4

(4分)

图2所示电路中输出函数F的表达式为()

C. (A+B)·C

(4分)N个触发器可以构成最大计数长度(进制数)为( )的计数器。

D. 2N

图示电路为由555定时器构成的()。

A. 施密特触发器

8线—3线优先编码器的输入为I0—I7,当优先级别最高的I7有效时,其输出

的值是()。

C. 000

(4分)将TTL与非门作非门处理;则多余输入端应作( )处理。

A.全部接高电平

(2分)在时间和幅度上都断续变化的信号是数字信号;语音信号不是数字信号。()对

(2分)逻辑函数的化简是为了使表达式简化而与硬件电路无关。()

(2分)二进制数1001和二进制代码1001都表示十进制数9。()

(2分)移位寄存器 74LS194 可串行输入并行输出;但不能串行输入串行输出。()

(2分)钟控RS触发器是脉冲触发方式。()

(2分)AB +A’C+BC = AB +A’C ()

(2分)时序电路不含有记忆功能的器件。()

(2分)PLA的与阵列和或阵列均可编程。()

(2分)关门电平UOFF是允许的最大输入高电平。()

(2分)时钟触发器仅当有时钟脉冲作用时;输入信号才能对触发器的状态产生影响。()

(4分)八进制(273)8中;它的第三位数2 的位权为______。

B. (64)10

图1所示电路的逻辑功能相当于()

C. 异或门

(4分)对电压、频率、电流等模拟量进行数字处理之前;必须将其进行()

B. A/D转换

如图所示的电路,输出F的状态是()

D. 0

(4分)一只四输入端或非门;使其输出为1的输入变量取值组合有( )种。

A. 15

(4分)下列电路中;不属于组合逻辑电路的是()。

C. 寄存器

函数的反函数=()

B.

(4分)连续异或1985个1的结果是()。

B. 1

(4分)一个数据选择器的地址输入端有3个时;最多可以有()个数据信号输出。

C. 8

(4分)函数F(A;B;C)=AB+BC+AC的最小项表达式为:()

B. F(A;B;C)=∑m(3;5;6;7)

已知逻辑函数与其相等的函数为()。

D.

(4分)为实现“线与”逻辑功能;应选用()。

C. 集电极开路(OC)门

(4分)计算机键盘上有101个键;若用二进制代码进行编码;至少应为()位。B. 7

(4分)十六路数据选择器的地址输入(选择控制)端有()个。

C. 4

(4分)请判断以下哪个电路不是时序逻辑电路()。

C. 译码器

(4分)要将方波脉冲的周期扩展10倍;可采用()。

C. 十进制计数器

(4分)函数F=AB+BC;使F=1的输入ABC的组合为( )。

D. ABC=110

函数F=(A+B’)(C+D’)’的对偶式=( )。

B. AB’ +(CD’)’

逻辑函数F(A,B,C) = AB+BC+的最小项标准式为()。

D. F(A;B;C)=∑m(3;4;6;7)

已知真值表如表1所示;则其逻辑表达式为:()

A. A⊕B⊕C

(2分)在时间和幅度上都断续变化的信号是数字信号;语音信号不是数字信号。()

答案对

(2分)单稳触发器和施密特触发器是常用的脉冲信号整形电路。()

答案对

(2分)“0”的补码只有一种形式。()

答案对

(2分)二进制数1001和二进制代码1001都表示十进制数9。()

答案错

(2分)寄存器属于组合逻辑电路。()

答案错

(2分)时序电路不含有记忆功能的器件。()

答案错

(2分)环形计数器在每个时钟脉冲CP作用时;仅有一位触发器发生状态更新。()

答案错

(2分)CMOS 电路比 TTL 电路功耗大。()

答案错

(2分)在数字电路中;高电平和低电平指的是一定的电压范围;而不是一个固定不变的数值()答案对

(2分)在数字电路中;逻辑功能相同的TTL门和CMOS门芯片可以互相替代使用。()

答案错

某电路的输入波形 uI 和输出波形 uO 如图所示;则该电路为()。

C. 单稳态触发器

(4分)对电压、频率、电流等模拟量进行数字处理之前;必须将其进行()

B. A/D转换

(4分)下列门电路属于双极型的是()

A. OC门

已知某电路的真值表如下,该电路的逻辑表达式为()。

C.

已知某触发器的时钟CP,异步置0端为RD,异步置1端为SD,控制输入端Vi和输出Q的波形如下图所示,根据波形可判断这个触发器是()。

D. 上升沿T触发器

下列所给三态门中;能实现C=0时;F=;C=1时;F为高阻态的逻辑功能

的是_________。

A.

寄存器要存放n位二进制数码时,需要( )个触发器。

A. n

(4分)对于钟控RS触发器;若要求其输出“0”状态不变;则输入的RS信号应为()

A. RS=X0

(4分)不需要外加输入信号而自动产生矩形脉冲信号的是( )。

D. 多谐振荡器

(4分)多谐振荡器可产生()

B. 矩形脉冲

函数的反函数=()

B.

(4分)只能按地址读出信息;而不能写入信息的存储器为()。

B. ROM

设图中所有触发器的初始状态皆为0;找出图中触发器在时钟信号作用下;输

出电压波形恒为0的是:()图。

C.

(4分)一个数据选择器的地址输入端有3个时;最多可以有()个数据信号输出。

C. 8

(4分)下列几种说法中与BCD码的性质不符的是()

C. BCD码是一组四位二进制数;能表示十六以内的任何一个十进制数。

(4分)用触发器设计一个24进制的计数器;至少需要( )个触发器。

D. 5

(4分)用555定时器构成单稳态触发器;其输出脉宽为()。

B. 1.1RC

图2所示电路中输出函数F的表达式为()

C. (A+B)·C

(4分)三输入、八输出译码器;对任一组输入值其有效输出个数为()

B. 8个

函数F=(A+B’)(C+D’)’的对偶式=( )。

B. AB’ +(CD’)’

(2分)在时间和幅度上都断续变化的信号是数字信号;语音信号不是数字信号。()

答案对

(2分)与 CMOS 电路相比; TTL 电路的主要优点是速度快。()

答案对

(2分)因为逻辑表达式A+B+AB=A+B成立;所以AB=0成立。()

答案错

(2分)计数模为2n的扭环计数器所需的触发器为n个。()

答案对

(2分)寄存器属于组合逻辑电路。()

答案错

(2分)时序图、状态转换图和状态转换表都可以用来描述同一个时序逻辑电路的逻辑功能;它们之间可以相互转换。()

答案对

(2分)AB+CD=(A+B)(B+C)(C+D)(D+A)。()

答案错

(2分)若两逻辑式相等;则它们对应的对偶式也相等。()

答案对

(2分)关门电平UOFF是允许的最大输入高电平。()

答案错

(2分)用或非门可以实现3种基本的逻辑运算。()

答案对

()电路在任何时刻只能一个输出端有效。

A. 二进制译码器

组合电路没有记忆功能。()

图示电路为TTL电路,可实现的功能。()

约束项就是逻辑函数中不允许出现的变量取值组合;用卡诺图化简时;可将束项当作1;也可当作 0。()

N进制计数器可以实现N分频;()对

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

数字电子技术实验讲义

实验一示波器与数字电路实验箱的使用及门电路 逻辑功能测试、变换(验证) 一、实验目的: 1、熟悉示波器及数字电路实验箱的使用 2、验证门电路的逻辑功能 3、掌握门电路的逻辑变换 二、实验仪器及器材 1、Vp—5225A—1 2、数字电路实验箱 3、器件:74LS00(二输入与非门)、74LS02(或非门)、74LS86(异或门) 说明:1)以上三个门电路中的V CC接电源电压,GND接地。 2)A、B为输入端,Y为输出端,指示灯亮为高电平,灯灭为低电平。 3)实验时,检查导线是否折断,方法:一端接电源,一端接指示灯。 三、实验内容: 1、熟悉示波器各旋钮的功能作用并学会正确使用。 2、熟悉数字电路实验箱并正确使用。 3、时钟波形参数的测量 1)测量脉冲波形的低电平和高电平。(取f=1KHZ) 2)测量脉冲的幅度(V OM),脉宽(T P),周期(T)。(取f=1KHZ) 3)用示波器调出频率f=2KHZ的波形图,并画出波形图。 4、门电路逻辑功能测试 74LS00(二输入与非门)、74LS02(或非门)、74LS86(异或门) 5、用与非门(74LS00)实现其它门电路的逻辑功能 1)实现或门逻辑功能:写出转换表达式,画出电路图并验证功能。 2)实现异或门逻辑功能:写出转换表达式,画出电路图并验证功能 四、数据记录及处理: 1、脉冲波形参数的测量 1)V H=?V L=? 2)V OM=?T P=?T=? 3)画出频率f=2KHZ的波形图 2、门电路逻辑功能测试

74LS00 与非门74LS02 或非门74LS86 异或门 1)写出逻辑表达式的变换 A+B= 2)画出电路图 3)功能测试 4、用与非门74LS00实现异或门的逻辑功能 1)写出逻辑表达式的变换 A B= 2)画出电路图 3)功能测试 五、注意事项: 1、示波器的辉度不要太亮。 2、V/DIN衰减开关档应打得合适。 3、插入芯片时,应注意缺口相对,否则就错了。 4、接线时,注意检查电源、地线是否接正确。 六、思考题: 在给定的器件中,自己选择一个器件并设计电路,使输入波形与输出波形反相,用示波器观察。 七、小结

数字电子技术实验讲义(电13)

……………………………………………………………精品资料推荐………………………………………………… 数字电子技术 实验指导书 杨延宁编 延安大学信息学院 2015年5月

前言 数字电路是一门理论性和技术性都较强的技术基础课,实验是本课程的重要教学环节,必须十分重视。 本实验讲义是为通信工程专业学生作数字电路实验而设计和编写的。编写时考虑了本专业的现行计划学时、所用教材内容及后续课程内容等。本讲义编写了八个实验,每个实验计划用时180分钟。 一、数字电路实验目的 1、验证、巩固和补充本课程的理论知识,通过理论联系实际,进一步提高分析和解决问题的能力。 2、了解本课程常用仪器的基本原理、主要性能指标, 并能正确使用仪器及熟悉基本测量方法。 3、具有正确处理实验数据、分析实验结果、撰写实验报告的能力,培养严谨、实事求是的工作作风。 二、实验准备要求 实验准备包括多方面,如实验目的、要求、内容以及与实验内容有关的理论知识都要做到心中有数,并要写好预习报告。预习报告可以简明扼要地写一些要点,而不需要按照什么格式,只要自己能看懂就行。内容以逻辑图与电路图(连线图)为主,附以文字说明或必要的记录实验结果图表。在预习报告中要求将逻辑图与连线图同时画出,这是因为,只有逻辑图则不利于连接线路,而只有连线图则反映不出电路逻辑图。在实验过程中一旦出了问题,不便进行理论分析。特别当电路较复杂时还应将逻辑图与连线图结合起来。 三、数字电路实验中的常见故障及排除 数字电路实验过程的第一步,一般都是连接线路,当线路连接好后,就可以加电进行试验。若加电后电路不能按预期的逻辑功能正常工作,就说明电路有故障,产生故障的原因大致有以下几个方面:

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1) 二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD码、5421BCD码和余3码。 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤如下:

数字电子技术实验讲义(试用)

数字电子技术实验 简要讲义 适用专业:电气专业 编写人:于云华、何进 中国石油大学胜利学院机械与控制工程学院 2015.3

目录 实验一:基本仪器熟悉使用和基本逻辑门电路功能测试 (3) 实验二:小规模组合逻辑电路设计 (4) 实验三:中规模组合逻辑电路设计 (5) 实验四:触发器的功能测试及其应用 (7) 实验五:计数器的功能测试及其应用 (8) 实验六:计数、译码与显示综合电路的设计 (9)

实验一:基本仪器熟悉使用和常用门电路逻辑功能测试 (建议实验学时:2学时) 一、实验目的: 1、熟悉实验仪器与设备,学会识别常用数字集成芯片的引脚分配; 2、掌握门电路的逻辑功能测试方法; 3、掌握简单组合逻辑电路的设计。 二、实验内容: 1、测试常用数字集成逻辑芯片的逻辑功能:74LS00,74LS02,74LS04,74LS08,74LS20,74LS32,74LS86等(预习时查出每个芯片的逻辑功能、内部结构以及管脚分配)。 2、采用两输入端与非门74LS00实现以下逻辑功能: ① F=ABC ② F=ABC③ F=A+B ④ F=A B+A B 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容)主要包括: 1、实验电路设计原理图;如:实现F=A+B的电路原理图: 2、实验真值表; 3、实验测试结果记录。如: 输入输出 A B F3 00灭

四、实验总结: (学生根据自己实验情况,简要总结实验中遇到的问题及其解决办法)注:本实验室提供的数字集成芯片有: 74LS00, 74LS02,74LS04,74LS08,74LS20,74LS32,74LS74,74LS90,74LS112, 74LS138,74LS153, 74LS161 实验二:小规模组合逻辑电路设计 (建议实验学时:3学时) 一、实验目的: 1、学习使用基本门电路设计、实现小规模组合逻辑电路。 2、学会测试、调试小规模组合逻辑电路的输入、输出逻辑关系。 二、实验内容: 1、用最少的门电路设计三输入变量的奇偶校验电路:当三个输入端有奇数个1时,输出为高,否则为低。(预习时画出电路原理图,注明所用芯片型号) 2、用最少的门电路实现1位二进制全加器电路。(预习时画出电路原理图,注明所用芯片型号) 3、用门电路实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。要求如下:人类由四种基本血型:A、B、AB、O 型。输血者与受血者的血型必须符合下述原则: O型血可以输给任意血型的人,但O型血的人只能接受O型血; AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血; A 型血能给A型与AB型血的人;但A型血的人能够接受A型与O型血; B型血能给B型与AB型血的人,而B型血的人能够接受B型与O型血。 试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。 约定“00”代表“O”型 “01”代表“A”型 “10”代表“B”型 “11”代表“AB”型(预习时画出电路原理图,注明所用芯片型号) 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容),与实验一说明类似。

(完整word版)数字电子技术基础习题-答案3-4章

第3章 逻辑代数及逻辑门 【3-1】 填空 1、与模拟信号相比,数字信号的特点是它的 离散 性。一个数字信号只有两种取值分别表示为0 和1 。 2、布尔代数中有三种最基本运算: 与 、 或 和 非 ,在此基础上又派生出五种基本运算,分别为与非、或非、异或、同或和与或非。 3、与运算的法则可概述为:有“0”出 0 ,全“1”出 1;类似地或运算的法则为 有”1”出”1”,全”0”出”0” 。 4、摩根定理表示为:A B ?=A B + ;A B +=A B ?。 5、函数表达式Y=AB C D ++,则其对偶式为Y '=()A B C D +?。 6、根据反演规则,若Y=AB C D C +++,则Y =()AB C D C ++? 。 7、指出下列各式中哪些是四变量A B C D 的最小项和最大项。在最小项后的( )里填入m i ,在最大项后的( )里填入M i ,其它填×(i 为最小项或最大项的序号)。 (1) A +B +D (× ); (2) ABCD (m 7 ); (3) ABC ( × ) (4)AB (C +D ) (×); (5) A B C D +++ (M 9 ) ; (6) A+B+CD (× ); 8、函数式F=AB+BC+CD 写成最小项之和的形式结果应为m ∑(3,6,7,11,12,13,14,15), 写成最大项之积的形式结果应为 M (∏ 0,1,2,4,5,8,9,10 ) 9、对逻辑运算判断下述说法是否正确,正确者在其后( )内打对号,反之打×。 (1) 若X +Y =X +Z ,则Y=Z ;( × ) (2) 若XY=XZ ,则Y=Z ;( × ) (3) 若X ⊕Y=X ⊕Z ,则Y=Z ;(√ ) 【3-2】用代数法化简下列各式 (1) F 1 =1ABC AB += (2) F 2 =ABCD ABD ACD AD ++= (3)3F AC ABC ACD CD A CD =+++=+ (4) 4()()F A B C A B C A B C A BC =++?++?++=+ 【3-3】 用卡诺图化简下列各式

数字电子技术训练讲义doc - 深圳职业技术学院

《数字电子技术训练》讲义 深圳职业技术学院 工业中心电子技术基础教研室编印 2006年1月

实训一 信号灯的逻辑控制 一、 实训目的 1.了解逻辑控制的概念 2.掌握表示逻辑控制的基本方法 二、 实训设备与器件 发光二极管、限流电阻、继电器两个、直流电源、导线若干 三、 实训电路与说明 图1.1为实训电路图。这是一个楼房照明灯的控制电路。设A 、B 分别代表上、下楼层的两个开关,发光二极管代表照明灯。在楼上按下开关A ,可以将照明灯打开,在楼下闭合开关B ,又可以将灯关掉;反过来,也可以在楼下开灯,楼上关灯。 四、 实训内容与步骤 (1)连接电路 表1.1 按图1.1连接好电路,注意JA 、JB 两个继电器的开关不要接错。 (2)试验开关和发光二极管的逻辑关系 接通电源,分别将开关A 、B 按表1.1的要求接通或者断开,观察发光二极管F 的亮灭情况,并填入表1.1中。 五、 实训结论与分析 通过上述实训,可做如下总结: (1)实训图中,JA 和JB 分别代表继电器的两个线圈,JA K1、JB K1代表继电器的常开触点,JA K2、JB K2代表继电器的常闭触点。在实训图所示的状态下(开关A 、B 均断开),由于没有通路给发光二极管供电,所以发光二极管灭;开关A 闭合,继电器线圈JA 通电,其常开触点JA K1闭合,常闭触点JA K2断开,JB K1 、JB K2则维持原来状态,此时图1.1最上面的一条电路连通,通过电源给发光二极管供电,发光二极管亮。同样道理,如果只闭合开关B ,也会给发光二极管构成通路使之点亮;当开关A 、B 均闭合时,由于没有通路,所以发光二极管灭,读者可自行分析。 (2)发光二极管F 的状态,我们称为输出,是由开关A 、B 来决定的,开关A 、B 称为输入。输出和输入是一种逻辑控制电路,而且输入量和输出量都只分别对应两种状态。 (3)从试验结果可以看出,当A 、B 同时闭合,或者同时断开,即处于相同状态时,二极管灭;相反,当A 、B 处于不同状态时,发光二极管点亮。如果定义开关闭合和灯亮为逻辑“1”,定义开关断开和发光二极管不亮为逻辑“0”,则A 、B 、F 都可用两种逻辑状态“1”、 图1.1 照明灯的逻辑控制电路 开关A 开关B 发光二极管F 断开 断开 闭合 闭合 断开 闭合 断开 闭合

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器

三、(本题30分) 由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 C R R CC u o

(3)输出Z的序列是0010001100 第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答:1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。

完整版数字电子技术基础1

、单项选择题 1、将十进制数56转换成8421BCD 码应是: 2、使晶体三极管工作于饱和区的电压条件是: 系叫做: 6、TTL 门电路理论上的逻辑低电平为: 7、下列电路中不属于时序逻辑电路的是: &下列电路中无需外加触发信号就能自动产生方波信号的电路是: A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 9、下面对时序逻辑电路的描述不正确的是: A 、时序电路中任一时刻的输出信号仅取决于该时刻的输入信号。 数字电子技术基础 模拟卷1 A 、(56) 10= ( 0011 1000 8421BCD B 、 (56) 10= ( 0011 1001) 8421BCD C 、(56) 10= ( 0101 1000) 8421BCD D 、 (56) 10= ( 0101 0110) 8421BCD A 、发射结正偏,集电结反偏 B 、发射结反偏,集电结反偏 C 、发射结正偏,集电结正偏 D 、发射结反偏,集电结正偏 3、只有当两个输入变量的取值相同时, 输出才为 1,否则输出为0,这种逻辑关 A 、同或 B 、与非 C 、异或 D 、或非 4、 在功能表中刈勺含义是: A 、表示高电平 B 、表示低电平 C 、咼低电平都不可以 D 、咼低电平都可以 5、 下列4个电路中能实现L AB 逻辑关系的是: >1 =1 >1 =1 D- A 、0V B 、0.3V C 、1.4V D 、1.8V A 、移位寄存器 B 、译码器 C 、随机存取存储器 计数器 RS 触发器

B 、时序电路包含组合电路和存储电路两部分。 C 、时序电路中的存储电路是要记忆以前的状态, 存储电路可由触发器组成。 D 、时序电路一般分为两大类:同步时序电路和异步时序电路 10、已知静态RAM2114的存储容量为1K X 4位,若要扩展存储容量为 需要几片2114 D 、16 片 12、5G7520为10位集成数模转换器,设参考电压 V REF =10V ,R F =R , 、多项选择题(在每小题的四个备选答案中,选出至少两个正确答案,并将其 2、描述触发器逻辑功能的方法有: 3、比较下列几个数的大小,正确的结果是: D 、(2A ) 16 >( 101101) 2 4、在下式中选出正确的逻辑代数公式: 4KX8 位, 11、已知逻辑函数 L A B D ,则其反函数F 为: A 、A BCD B 、A BCD C 、A BCD D 、 A BCD 当输入全 1时,输出电压的绝对值为: 10V C 10V ——255 B 、—— 1 256 1024 B 、 C 、 10V ——1023 1024 D 、 10V —— 1 256 号码分别填在题干的括号内。多选、少选、 错选均无分。) 1、逻辑函数L (AB AB)C 中,变量A 、 B 、C 取哪些值时, L 的值为1。 A 、ABC 取 011 B 、AB C 取 101 C 、ABC 取 000 ABC 取 111 A 、功能表 B 、特征方程 C 、状态转换图 D 、驱动表 A 、(46) 8>( 39) 10 B 、(2A ) 16>( 39) 10 C 、(101101) 2>( 39) 10

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

数字电子技术经典复习资料全

《数字电子技术》复习 一、主要知识点总结和要求 1.数制、编码其及转换:要求:能熟练在10进制、2进制、8进制、16进制、8421BCD 、格雷码之间进行相互转换。 举例1:(37.25)10= ( )2= ( )16= ( )8421BCD 解:(37.25)10= ( 100101.01 )2= ( 25.4 )16= ( 00110111.00100101 )8421BCD 2.逻辑门电路: (1)基本概念 1)数字电路中晶体管作为开关使用时,是指它的工作状态处于饱和状态和截止状态。 2)TTL 门电路典型高电平为3.6 V ,典型低电平为0.3 V 。 3)OC 门和OD 门具有线与功能。 4)三态门电路的特点、逻辑功能和应用。高阻态、高电平、低电平。 5)门电路参数:噪声容限V NH 或V NL 、扇出系数N o 、平均传输时间t pd 。 要求:掌握八种逻辑门电路的逻辑功能;掌握OC 门和OD 门,三态门电路的逻辑功能;能根据输入信号画出各种逻辑门电路的输出波形。 举例2:画出下列电路的输出波形。 解:由逻辑图写出表达式为:C B A C B A Y ++=+=,则输出Y 见上。 3.基本逻辑运算的特点: 与 运 算:见零为零,全1为1;或 运 算:见1为1,全零为零; 与非运算:见零为1,全1为零;或非运算:见1为零,全零为1; 异或运算:相异为1,相同为零;同或运算:相同为1,相异为零;

非运算:零变1,1 变零; 要求:熟练应用上述逻辑运算。 4. 数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。 ③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。 ④逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。 ⑤波形图或时序图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。 ⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。 要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。 5.逻辑代数运算的基本规则 ①反演规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换成“+”,“+”换成“·”, “0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的表达式就是函数Y的反函数Y(或称补函数)。这个规则称为反演规则。 ②对偶规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,而变量保持不变,则可得到的一个新的函数表达式Y',Y'称为函Y 的对偶函数。这个规则称为对偶规则。要求:熟练应用反演规则和对偶规则求逻辑函数的反函数和对偶函数。 举例3:求下列逻辑函数的反函数和对偶函数 解:反函数:;对偶函数:

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

(完整word版)数字电路基础.docx

数字电子技术基础网络题库 第一章数字电路基础(1) S09209B 数字电路中的工作信号为()。 A. 随时间连续变化的电信号 B.脉冲信号 C.直流信号 解: B S09211B 与二进制数10001001 相应的十进制数是()。 A.89 B. 137 C.73 解: B S09212B 模拟电路中的工作信号为()。 A. 随时间连续变化的电信号 B.随时间不连续变化的电信号 C.持续时间短暂的脉冲信号 解: A S09219B 数字电路中的工作信号为()。 A. 随时间连续变化的电信号 B.脉冲信号 C.直流信号 解: B S12222B 逻辑电路如图所示,EN 为控制端,若C=“1”,则 F 为()。 A.AB AB B.AB C.高阻状态 解: C S09220B 逻辑图和输入A, B 的波形如图所示,分析在t1时刻输出 F 为()。 A.“ 1” B.“ 0” C.不定 解: B S09221B A B C

A. F ABC B.F A B C C.F ABC 解: A S09222B 逻辑式 F AB BD ABC ABC D ,化简后为()。 A.F AB BC B.F A B+C D C.F AB BD 解: C S09223B 与二进制数00101010 相应的十进制数是()。 A. 20 B. 74 C. 42 解: B S09224B 逻辑式 F ABC ABC ABC ABC ,化简后为()。 A. F A B. F B C.F AB 解: A S09206N 反码是 (1011101)反对应的十进制数是()。 A. –29 B.–34 C. –16 D. 22 解: B S09206G (–25)10的补码是()。 A. 100111 B.000111 C. 011001 D.111001 解: A S09207G 某十六进制数的原、反、补(不一定是这个顺序)码是 101011010,101011011,110100101,该十六进制数为()。 A.5A B.–DA1 C.A5 D.–A5 解: D S09207N 某十进制数的原、反、补(不一定是这个顺序) 码是 1001001,1001010, 1110110,该十进制数是()。

【数字电子技术题目第一章】数字电子技术期末

【数字电子技术题目第一章】数字电子技术期末 第一章数字逻辑概论一.填空题1.(101.1001)2=()8=()16。 2.(1011011)2=()8=()16。 3.(01110.1101)2=()8=()16。 4.(110101)2=()8=()16。 5.(10110010.1011)2=( )8=( ) 16。 6. 已知十进制数“230”, 则将其转换为十六进制数为; BCD码“100110000101”表示的十进制数为。 7. 某编码器对26个英文字母进行编码,需要采用位二进制输出。 8. 某编码器对一年12个月进行编码,需要采用位二进制输出。 9.将逻辑函数Y=AB’+ABC+AC 转换成与非—与非形式。 10.将逻辑函数Y=(A+B’)(A’+B)C+(BC)’转换成与非—与非形式。 11. 四个变量逻辑函数共有个最小项,两个不同的最小项的乘积为,全部最小项之和为。 12. 六个变量逻辑函数共有个最小项,两个不同最小项的乘积为,全部最小项之和为。 13. 已知某函数F=((A+B’)(A’+C))’AC+BC,则其最简与或式为。 14. 已知某函数F=ABC+(AC)’+(AB)’,则其最简与或式为。 15. 已知函数,则其反函数为。 16. 已知函数F=AC+BC’,则其反函数为。 17.数制转换:(011010)2 =()10 =()8 =()16。18.数制转换:(35)10 =()2 =()8 =()16。19.数制转换:(251)8 =()2 =()16 =()10。20.数制转换:(4B)16 =()2 =()8 =()10。 21.数制转换:(69)10 =()2 =()16 =()8。22.将二进制数转换为等值的八进制和十六进制数(10011011001)2 =()8 =()16。 23.将二进制数转换为等值的八进制和十六进制数(1001010.011001)2 =()8 =()16。 二.选择题1. 若输入变量A、B全为0时,输出函数F=0,则其输入与输出的关系是()。 A. 异或 B. 同或 C. 或非 D. 与非2. 1997个“1”同或起来的结果为()。 A.1 B. 2008 C.1004 D.0 3. 若输入变量A、B全为1时,输出函数F=1,则其输入与输出的关系是()。 A. 异或 B. 同或 C. 或非 D. 与非4. 2008个“1”异或起来的结果为()。 A.1 B. 2008 C.1004 D.0 5. 下列数据中,数值最小的数据为()。 A. (12)16 B. (21)8 C. (10011)2 D. (16)10 6. 下列四个数中最大的数是()。

数字电子技术实验讲义(电13)

数字电子技术实验指导书 杨延宁编 延安大学信息学院 2015年5月

前言 数字电路是一门理论性和技术性都较强的技术基础课,实验是本课程的重要教学环节,必须十分重视。 本实验讲义是为通信工程专业学生作数字电路实验而设计和编写的。编写时考虑了本专业的现行计划学时、所用教材内容及后续课程内容等。本讲义编写了八个实验,每个实验计划用时180分钟。 一、数字电路实验目的 1、验证、巩固和补充本课程的理论知识,通过理论联系实际,进一步提高分析和解决问题的能力。 2、了解本课程常用仪器的基本原理、主要性能指标,并能正确使用仪器及熟悉基本测量方法。 3、具有正确处理实验数据、分析实验结果、撰写实验报告的能力,培养严谨、实事求是的工作作风。 二、实验准备要求 实验准备包括多方面,如实验目的、要求、内容以及与实验内容有关的理论知识都要做到心中有数,并要写好预习报告。预习报告可以简明扼要地写一些要点,而不需要按照什么格式,只要自己能看懂就行。内容以逻辑图与电路图(连线图)为主,附以文字说明或必要的记录实验结果图表。在预习报告中要求将逻辑图与连线图同时画出,这是因为,只有逻辑图则不利于连接线路,而只有连线图则反映不出电路逻辑图。在实验过程中一旦出了问题,不便进行理论分析。特别当电路较复杂时还应将逻辑图与连线图结合起来。 三、数字电路实验中的常见故障及排除 数字电路实验过程的第一步,一般都是连接线路,当线路连接好后,就可以加电进行试验。若加电后电路不能按预期的逻辑功能正常工作,就说明电路有故障,产生故障的原因大致有以下几个方面:

1、电路设计错误。 2、布线错误。 3、集成块使用不当或功能不正常。 4、接触不良。 5、电源电压不符合要求。 在我们的实际实验过程中,故障最多的情况当属接触不良和布线错误。为了使实验能顺利进行,减少出现故障的可能性,实验过程必须做到仔细、认真、有步骤地进行。并注意以下几点: 1、插集成元件时,应注意校准其所有引脚,使其端、直、等距。然后慢慢插入实验板,以免用力过猛而折断或弯曲集成元件的引脚。并注意集成元件方向,以免倒插。双列直插式集成元件一端具有半圆形定位标记,其下方为第1引脚,上方为最后一个引脚,引脚序号以逆时钟方向递增。 2、在布线之前,最好先对实验所用集成元件进行逻辑功能测试,这样就可以避免在实验中因元件功能不正常而产生电路工作不正常。实际上预先检查元件的逻辑功能并不需花费多少时间。 3、布线所用导线为单芯直径约0.6nm的导线,布线时注意导线不要垮接在集成元件的上面,也不要使其交叉连接在空中搭成网状,而应使导线贴近实验板连接,沿水平和垂直两个正交方向走向。 4、布线时应有顺序地进行,以免漏接。连接时,首先连接固定电平的引脚,如电源正负极、门的多余输入端、工作过程中保持高电平或低电平的置位、复位和选通端等。然后再按照信号流向顺序依次布线。 5、对于使用集成元件较多的大型实验,应分块连接,调试,最后总体连接。 在实验电路设计正确的情况下,布好线又经检查后,一般出问题的机率是不多的。并且数字电路中的故障一般比模拟电路中的故障较易检查和排除。对于实验中出现的故障进行排除时,要保持头脑冷静,有分析地逐步进行,避免抱着侥幸心理乱碰,或在几分钟内找不到故障所在,则束手无策,甚至把连线全部拨掉,从头开始,这样太浪费时间。

数字电子技术讲义 第三章 组合逻辑电路

第三章 组合逻辑电路 根据组合逻辑电路的不同特点,数字电路分成:组合逻辑电路(组合电路) 时序逻辑电路(时序电路) 组合逻辑电路的特点:任意时刻的输出仅仅取决于该时刻的输入,与电路原来状态无关。 ()n i i A A A f F Λ21,=(i =1,2,…m ) 3.1组合逻辑电路的分析 组合逻辑电路的分析方法:1)由逻辑图写出各输出端的逻辑表达式 2)化简和变换各逻辑表达式 3)列真值表 4)分析确定功能 例: C B A L ⊕⊕= 3.1.1 分析加法器 半加器真值表 (1)1位加法器 1)半加器 不考虑由低位进位来的加法器 B A A S ⊕== A B B

AB Co = 2)全加器 考虑低位进位的加法器 CI B A CI AB CI B A BCI A S +++= 全加器真值表 CI B B A CI A CO ++= S “奇数个1时,S 为1”CI “两个以上1时,CI 为1” A (2)多位加法器 1、并行相加串行进位的加法器 例如:四位二进制数A 3 A 2A 1A 0和B 3 B 3 B 3 B 3相加 CI CO Σ CI CO Σ CI CO Σ CI CO Σ CO S 1 S 0 S 2 S 3 A 0B 0 A 1 B 1 A 2 B 2 A 3 B 3 每位进位信号作为高位的输入信号――串行进位 故任一位的加法运算必须在低一位的运算完成后才能进行――速度慢 2、超前进位 00011011 0 1 A B CI 01011 1 00011011 0 1 A B CI 00100 1 1 1

每位的进位只由加数和被加数决定,而与低位的进位无关。 1-⊕⊕=i i i i C B A S ()1-⊕+=i i i i i i C B A B A C 3.1.2 分析数据选择器 数据分配器:将公共数据线上的信号送往不同的通道 数据选择器:将不同通道的信号送往公共数据线 74LS153为例:通过给定不同的地址代码,即可从4个输入数据中选出所要得输出 函数式:()()()()[] 01130112011101101A A D A A D A A D A A D Y +++= 总结:1、数据选择器可将多通道输入的数据有选择的传送到输出端 2、数据选择器还可作为一般的逻辑函数产生器,一个2n 选一的数据选择器可以产生n 或少于n 个输入变量的逻辑函数 3、构成逻辑函数产生器的关键是确定常量输入端的逻辑值。可由导出的最小项或真值表获得。 3.1.3 分析多路分配器 D A A D 010= D A A D 011= D A A D 012= A A D 013= A A D 3.1.4 分析数值比较器 (1)1位数值比较器 两个数AB 比较(A >B ,A

相关文档
最新文档