单片机课程设计-节日彩灯控制器设计

单片机课程设计-节日彩灯控制器设计
单片机课程设计-节日彩灯控制器设计

《节日彩灯控制器设计》

课程设计说明书

专业班级:12级电子信息科学与技术3班

姓名学号:张娟080212107

陈晶晶080212118

程文文080212130

王鹏飞080212094

指导教师:纪娟娟

设计时间: 2014.6.6

物理与电气工程学院

2014 年6 月6 日

摘要

伴随社会发展的需求,无论是生活娱乐,还是工业控制,彩灯是必不可少的元素,节日中闪烁的彩灯,不仅使人们身心愉悦,还能带来视觉享受。我们希望节日彩灯能够启动、停止;能够通过开关进行功能选择;能够体现循环、组合和色彩变化的控制功能和效果。本次设计利用单片机程序以及利用集成电路芯片、LED灯和按键来设计彩灯,将软、硬件有机地结合在一起,实现设计的目的。通过按键的选择可以控制彩灯及数码管显示,另外还设置一中断按键在突发场景下给人以提醒。电路结构简单,变换效果多样,在实际生活中操作简单、易于实现。

关键词LED灯;键盘;STC89C52;集成电路芯片;数码管

目录

1 概述 (1)

2 彩灯设计内容简要 (1)

2.1 彩灯设计内容 (1)

2.2 彩灯设计要求 (1)

2.3 彩灯设计原理 (1)

2.4 彩灯总体控制框图 (2)

3 硬件电路设计 (2)

3.1 STC89C52单片机介绍 (2)

3.2 系统的硬件构成及功能描述 (4)

4 系统软件设计 (6)

4.1 程序流程图 (6)

4.2 软件程序 (7)

5 仿真结果与结论 (10)

6 设计体会 (13)

7 参考文献 (13)

1 概述

节日彩灯使生活中常常用到的装饰物品。它集中地运用了单片机、LED、,自动控制等技术,是典型的基于单片机的电子产品。

本设计以STC89C52单片机为控制核心,采用模块化的设计方案,运用LED 彩灯、按键、数码管等组成电路,实现彩灯在开启时满足不一样的闪亮方法。按键可以在彩灯使用的时候选择不同的亮法,使彩灯变化多样,七段数码管可以清楚显示彩灯样式编号,易于选取操作。

随着计算机、微电子、信息技术的快速进步,智能化技术的开发速度越来越快 ,智能度越来越高 ,应用范围也得到了极大的扩展。在海洋开发、宇宙探测、工农业生产、军事、社会服务、娱乐等各个领域。在娱乐方面,场地的装饰离不开彩灯。在建筑方面也采用彩灯来装饰高楼大厦。彩灯又灵活多变的点亮方式,装饰效果非常好,特别时晚上使得高楼大厦更加漂亮。是彩灯的应用才使得城市的夜景非常迷人。

在国内外,微控制系统主要采用单片机作为控制核心。因此,单片机的发展将有助于简单实用电子产品的开发。在本设计中,采用比较先进的STC89C52单片机为控制核心,它的功耗很低,功能多样,是简单电子产品开发控制元件的首选器件。

2 彩灯设计内容简要

2.1 彩灯设计内容

1.利用单片机实现LED彩灯花式的变换

2.利用单片机实现键盘控制花式的选择

3.利用数码管显示彩灯花式的类型

4.设计并实现中断对彩灯的控制

2.2 彩灯设计要求

1.能启动、停止

2.能通过开关进行彩灯样式的选择

3.能通过数码管显示彩灯的方式

4.要体现循环、中断和色彩变化的控制功能和效果

2.3 彩灯设计原理

主控模块主要设计器件有STC89C52,8个LED灯,6个按键,一块七段数码管。通过软件设计,使单片机P0口作为一位静态七段数码管字型码的控制口,P1口作为8个彩色LED的驱动信号输出口,P2口为按键输入口,P3.2为外部中断INT0输入口。

2.4 彩灯总体控制框图

图2.4.1 彩灯总体控制框图

3 硬件电路设计

3.1 STC89C52单片机介绍

STC89C52是STC公司生产的一种低功耗、高性能CMOS8位微控制器,具有8K在系统可编程Flash存储器。STC89C52使用经典的MCS-51内核,但做了很多的改进使得芯片具有传统51单片机不具备的功能。在单芯片上,拥有灵巧的8 位CPU和在系统可编程Flash,使得STC89C52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。具有以下标准功能: 8k字节Flash,512字节RAM, 32 位I/O口线,看门狗定时器,内置4KB EEPROM,MAX810复位电路,3个16 位定时器/计数器,4个外部中断,一个7向量4级中断结构(兼容传统51的5向量2级中断结构),全双工串行口。另外STC89X52可降至0Hz 静态逻辑操作,支持2种软件可选择节电模式。空闲模式下,CPU停止工作,允许RAM、定时器/计数器、串口、中断继续工作。掉电保护方式下,RAM内容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止。最高运作频率35MHz,6T/12T可选。

图3.1.1 STC89C52外形及引脚排列

特性:

STC89C52RC单片机;

8K字节程序存储空间;

512字节数据存储空间;

内带2K字节EEPROM存储空间;

可直接使用串口下载;

AT89S52单片机:

8K字节程序存储空间;

256字节数据存储空间;

带有2KB的EEPROM空间。

参数

1. 增强型8051 单片机,6 时钟/机器周期和12 时钟/机器周期可以任意选择,指令代码完全兼容传统8051

2. 工作电压:5.5V~

3.3V(5V单片机)/3.8V~2.0V(3V单片机)

3. 工作频率范围:0~40MHz,相当于普通8051 的0~80MHz,实际工作频率可达48MHz

4. 用户应用程序空间为8K字节

5. 片上集成512 字节RAM

6. 通用I/O 口(32 个),复位后为:P0/P1/P2/P3 是准双向口/弱上拉,P0 口是漏极开路输出,作为总线扩展用时,不用加上拉电阻,作为I/O 口用时,需加上拉电阻。

7. ISP(在系统可编程)/IAP(在应用可编程),无需专用编程器,无需专用仿真器,可通过串口(RXDP3.0,TXD/P3.1)直接下载用户程序,数秒即可完成一片

8. 具有EEPROM功能

9. 具有看门狗功能

10. 共3 个16 位定时器/计数器。即定时器T0、T1、T2

11. 外部中断4 路,下降沿中断或低电平触发电路,Power Down模式可由外部中断低电平触发中断方式唤醒

12. 通用异步串行口(UART),还可用定时器软件实现多个UART

13. 工作温度范围:-40~+85℃(工业级)/0~75℃(商业级)

14. PDIP 封装

3.2 系统的硬件构成及功能描述

控制系统硬件设计电路图如图所示:

图3.2.1 控制系统硬件设计电路图

功能描述:

系统硬件设计电路主要由四部分组成:按键复位电路、时钟震荡电路、按键电路、LED彩灯电路、数码管显示电路。

按键复位电路:复位是单片机的初始化,其作用是使CPU中的各个部件都处于一个确定的初始状态,并从这个状态开始工作。在接电瞬间RST端的电位与VCC相同,随着电容充电电流的减小,+5V立即加到了RST/VPD端,RST的电位逐渐下降。

时钟振荡电路:在STC89C52的外部,XTAL1和XTAL2之间跨越晶体振荡器和微调电容,从而构成一个自激振荡器,形成时钟振荡电路。时钟电路产生的振荡脉冲经过触发器二分频后,形成单片机的时钟脉冲信号。

按键电路:用P2.3、P2.4、P2.2、P2.1作彩灯亮灯方式输入口,按键输入均采用低电平有效。独立式按键的软件可采用查询方式,本设计中按键程序的就是采用查询方式,若图中的P2.3的按键按下,软件程序查询到P2.3口为低电平,跳转到该按键控制的相应彩灯亮灯方式1。P3.2(INT0)口接一个按键,INT0为边沿触发方式(下降沿有效),当按键按下进入中断服务子程序,彩灯会暂停

图4.1.1 程序流程图

4.2 软件程序

#include

unsigned char code table1[]={0x18,0x24,0x42,0x81,0x81,0x42,0x24,0x18}; unsigned char code table2[]={0x88,0x44,0x22,0x11,0x55,0xaa,0x55,0xaa}; unsigned char code table3[]={0x01,0x02,0x04,0x08,0x10,0x20,0x40,0x80}; unsigned char code table4[]={0x80,0x40,0x20,0x10,0x08,0x04,0x02,0x01};

int a;

unsigned int z;

sbit d1=P2^0;

sbit d2=P2^3;

sbit d3=P2^4;

sbit d4=P2^2;

sbit d5=P2^1;

void delay(unsigned int z) //延时函数,z的取值为这个函数的延时ms数,如delay(200);大约延时200ms.

{

unsigned int x,y;

for(x=z;x>0;x--)

for(y=110;y>0;y--);

}

void liu1()//流水灯样式1

{

P0=0x06;

P1=table1[a];

delay(200);

a++;

if(a==8)

{

a=0;

}

}

void liu2()//流水灯样式2 {

P0=0x5b;

P1=table2[a];

delay(400);

a++;

if(a==8)

{

a=0;

}

}

void liu3()//流水灯样式3 {

P0=0x4f;

P1=0xff;

delay(200);

P1=table3[a];

delay(300);

a++;

if(a==8)

{

a=0;

}

}

void liu4()//流水灯样式4 {

P0=0x66;

P1=table4[a];

delay(150);

a++;

if(a==8)

{

a=0;

P1=0xff;

delay(300);

}

}

void main()

{

EA=1; //开中断

EX0=1;

IT0=1;

P2=0x1f;

P1=0x00;

P3=0X0f;

P0=0x00;

a=0;

while(1)

{

if(d2==0)//判断第一个键

{

delay(80);//延时等待

while(P2==0x1f)

{

liu1();

}

}

if(d3==0)//判断第二个键

{

delay(80);

while(P2==0x1f)

{

liu2();

}

}

if(d4==0)//判断第三个键

{

delay(80);

while(P2==0x1f)

{

liu3();

}

}

if(d5==0)//判断第四个键

{

delay(80);

while(P2==0x1f)

{

liu4();

}

}

}

}

void INTO_() interrupt 0 // 外部中断是0号

while(d1==1);//判断播放键

delay(800);//延时等待

}

5 仿真结果与结论

PROTEUS软件自带编辑器,可以实现对汇编程序的编译,其操作步骤是:

新建源文件:点菜单Source→Add/Remove source Files在出现的对话框中,选择ASEM51编辑器,新建NOMAME1.asm源文件。

程序设计:点菜单Source→NAMAME1.asm打开源文件编辑器,将将附录程序输入到文本中。

源程序编译:点菜单Source→Build ALL编译汇编源程序,生成目标代码文件PMD.HEX,若编译失败,可对程序进行修改调试直至编译成功。

目标代码加载:在PROTEUS编辑环境双击STC89C52,弹出如图5.1所示的对话框,在PROGRAM FILE一栏中单击打开按钮,选中NOMAME1.HEX文件。在CLOCK FREQUENCY栏中设置系统工作频率为12MHZ,单击OK完成目标代码加载。最后,点击运行按钮,启动系统仿真。

图5.1 程序代码加载

当按下1号键时仿真结果如图5.2所示:

图5.2花样1仿真结果当按下2号键时仿真结果如图5.3所示:

图5.3花样2仿真结果

当按下3号键时仿真结果如图5.4所示:

图5.4花样3仿真结果当按下4号键时仿真结果如图5.4所示:

图5.5花样4仿真结果

当暂停键按下时,彩灯暂停循环变化,同时数码管显示不变;

当开始键按下时,彩灯继续暂停前的状态开始循环变化。

6 设计体会

通过这次单片机课程设计,我们了解到自己在单片机方面还有很多不足,特别是单片机指令系统及STC89C52各引脚的第二功能等等知识不够了解.因此我们在设计中遇到不懂的东西就马上查资料或请教同学、老师.这不仅加深了我们对单片机理论的理解,将理论很好地应用到实际当中去,而且还学会了如何去培养我们的创新精神,以及认真严谨的工作作风,从而不断地战胜自己,超越自己。通过这次设计,我们领悟到创新可以是在原有的基础上进行改进,使之功能不断完善,成为自己的东西。

在开始之前,我们达成一个共识,要做好一个课程设计,就必须做到:在设计程序之前,对所用单片机的内部结构有一个系统的了解,知道该单片机内有哪些资源;要有一个清晰的思路和一个完整的的软件流程图;在设计程序时,不能妄想一次就将整个程序设计好,反复修改、不断改进是程序设计的必经之路;要养成注释程序的好习惯,一个程序的完美与否不仅仅是实现功能,而应该让人一看就能明白你的思路,这样也为资料的保存和交流提供了方便;在设计课程过程中遇到问题是很正常,但我们应该将每次遇到的问题记录下来,并分析清楚,以免下次再碰到同样的问题。

这个设计过程中,我们要实现彩灯的多功能化,老师平时指导我们将程序模块化,首先实现一个功能,接着逐个地添加功能,最后达到多功能化.有了明确的方向后,我们开始认真的做我们的课程设计.

这个过程中,我们花费了大量的时间和精力,同时还懂得合作精神的重要性,学会了与他人合作,不理解的就在团队里交流,这样学习才更有乐趣。在课程设计过程中,不断调试程序和修改程序,提高了对单片机的应用能力和分析问题和解决问题的能力。在和同学们交流的同时也明白了做任何事情都要有团结合作的精神,只有这样才能更快更好的掌握好要学习的知识。

7 参考文献

《单片机应用技术》--张晔,王玉民,高等教育出版社,出版日期:2006.6

《跟我学用单片机》-- 肖洪兵,北京航空航天大学出版社,出版日期:2002.8

《单片机实践应用与技术》--马长林,陈怡,北京大学出版社,出版日期:2008

《单片机高级教程第1版》--何立民,北京航空航天大学出版社,出版日期:2001

《单片机课程设计指导》--程成,清华大学出版社,出版日期:2009

《单片机原理及接口技术》--李朝清,北京航空航天大学出版社,出版日期:1999

单片机节日彩灯控制器设计

邯郸学院课程设计报告 课程名称单片机 题目节日彩灯控制器的设计 学生 学生 学生 学生 指导教师 年级 专业 二级学院 邯郸学院 2015年 1 月 5 日

邯郸学院《单片机》课程设计任务书

摘要 节日彩灯是生活中常常的装饰物品,是我国普遍流行的传统的民间的综合工艺品。彩灯艺术也是灯的综合性的装饰艺术。在当今社会里,彩灯已经成为我们生活当中的一部分,能给我们带来视觉上的享受,还能美化我们的生活。彩灯控制器主要是通过产生有规律变化的脉冲信号来实现彩灯的各种变化,他集中的应用了单片机、LED、自动控制等技术,是典型的基于单片机的电子产品。本文以AT89C51单片机为控制核心,采用模块化的设计方案,运用LED彩灯、按键等组成电路,实现才在开启时满足不一样的闪亮方法及方式。按K1—开始,按此键则灯开始流动(由上而下)。K2—停止,按此键则停止流动,所有灯为暗。K3—上,按此键则灯由上向下流动。K4—下,按此键则灯由下向上流动。按K5—从亮到暗到亮循环。通过按键能方便使用者选择不一样的亮法。并用仿真软件进行仿真按不同的方式点亮LED。还有就是这节日彩灯的制作成本低、精确度高、装调容易,为节日增添气氛,具一定的市场价值,因此制作了此节日彩灯。 关键词:节日彩灯;单片机;LED;设计;仿真

目录 摘要 (3) 一、概述 (5) 二、任务要求 (5) 三、硬件电路设计与分析 (5) 1.AT89C51单片机 (5) 1.1 AT89C51结构 (5) 1.2 AT89S51引脚描述 (6) 2.键盘设计 (8) 3.定时器与复位模块 (8) 3.1时钟电路 (8) 3.2复位电路 (9) 四、软件设计与论证 (10) 五、功能仿真实现 (11) 七、心得体会 (14) 八、参考文献 (15) 附录 (15)

花样彩灯课程设计

摘要在许多场合都可以看到彩色霓虹灯,LED彩灯由于其彩色丰富,造价低廉,控制简单等特点受到了广泛的应用。本系统是以51系列单片机AT89C51为主控器,附有LED发光二极管,用51系列单片机AT89C51来控制LED发光二极管亮灭,系统运行稳定,花样多多。 关键词彩色霓虹灯AT89C51 LED 一方案设计与论证 1.1单片机 方案一STC89C52 STC89C52是STC公司生产的一种低功耗、高性能CMOS8位微控制器,具有8K在系统可编程Flash存储器。能为许多嵌入式控制引用系统提供高灵活、超有效的解决方案。 方案二AT89C51 AT89C51是一种带4K字节Flash存储器的低电压、高性能COMS 8位微处理器。AT89C51单片机能为很多嵌入式系统提供一种灵活性高且价廉的方案。 虽然STC89C52具有51单片机不具备的功能,单本系统操作简单,且51单片机价格低廉,所以我们选择方案二。 二硬件电路设计 2.1 主控模块 2.1.1 AT89C51引脚图

图2.1.1 AT89C51引脚图 2.1.2AT89C51引脚功能介绍 Vss:接地端。 Vcc:电源端。 XTAL1:接外部晶体的一个引脚。CHMOS单片机采用外部时钟信号时,时钟信号由此脚引入。 XTAL2:接外部晶振的一个引脚。HMOS单片机采用外部时钟信号时,外部时钟信号由此脚接入。 RST:(1)复位信号输入;(2)Vcc掉电后,此引脚可接备用电源,低功耗条件下保持内部RAM中的数据。 ALE//PROG:地址锁存允许。 /PSEN:程序锁存允许。 /EA/Vpp:EA=0,单片机只访问外部程序存储器。EA=1,单片机访问内部程序存储器。 P0口----P0口可以作为输入/输出口,在实际应用中,常作为地址/数据总线口,即低8位地址与数据线分时使用P0口。低8位地址由ALE信号的下跳沿锁存到外部地址锁存器中,而高8位地址由P2口输出。 P1口----P1口每一位都能作为可编程的输入或输出口线。 P1.0:T2引脚,定时/计数器2外部计数脉冲。 P1.1:T2EX引脚,定时/计数器2触发和方向控制。 P1.5:MOSI引脚,在系统编程数据输入。 P1.6:MISO引脚,在系统编程数据输出。 P1.7:SCK引脚,在系统编程时钟输入。 P2口----P2口可以作为输入口或输出口使用,外接存储器和I/O接口时,又作为扩展系统的地址总线,输出高8位地址,与P0口一起组成16位地址总线。对于内部无程序存储器的单片机来说,P2口一般只作为地址总线使用,而不作

彩灯控制器课程设计数电

电子技术课程设计 ---彩灯控制器 学院:电子信息工程学院 班级: 学号: 指导教师:

彩灯控制器 一、设计任务与要求: 设计一个彩灯控制器,要求: 1.四路彩灯从左向右逐次渐亮,间隔为1秒。 2.四路彩灯从右向左逐次渐灭,间隔为1秒。 3.四路彩灯同时点亮,时间间隔为1秒,然后同时变暗,时间为1秒,反复4 次。 二、总体框图 图(1)总体框图 根据设计要求,电路设计大体思路如下: 由脉冲发生器发出频率脉冲信号,利用计数器加法计数功能输出0000~1111的脉冲信号,经过数据选择器分别在0000~0011,0100~0111,1000~1111三个时段输出不同的高低电平,控制移位寄存器实现右移→左移→置数功能,从而控制

彩灯按照设计要现亮灭。 三、选择器件 本次课程设计所用器件如表一: 表一本次课程设计所用器件 1.同步二进制计数器74LS163

表二7-3 74LS163功能表 根据逻辑图、波形图、功能表分析,74LS163具有如下功能: 管脚图逻辑符号 1)1是同步4位二进制加法计数器,M=16,CP上升沿触发 2)2既可同步清除,也可异步清除。同步清除时,清除信号的低电平将在下一 个CP上升沿配合下把四个触发器的输出置为低电平。异步清除时,直接用 清除信号的低电平把四个触发器的输出置为低电平。 3)3同步预置方式:当LD = 0时,在CP作用下,计数器可并行打入预置数据. 当LD = 1时,使能输入PT同时为高电平,在CP作用下,进行正常计数。 4)PT任一为低时,计数器处于保持状态。 5) 5 CO为进位输出,可用来级联成n位同步计数器。 2.四位双向移位寄存器74LS194

单片机设计节日彩灯控制器课程设计报告

单片机课程设计报告

用单片机设计节日彩灯控制器 一、设计任务与要求 本实验中采用汇编语言和89C51单片机实现几日彩灯控制器,在Keil uVision软件环境中编辑和调试节日彩灯程序,并在Proteus软件环境下仿真节日彩灯的硬件电路。可编程节日彩灯系统采用8个LED灯来模拟彩灯的显示,设计要求8个LED灯能以多种不同的方式变换的亮灭,以达到节日彩灯的效果。 本实验中彩灯具体的变换方式采用以下四种方式: 方式一:启动彩灯运行,按下与89C51单片机P1.2相连接的按钮,则彩灯按照由上而下的顺序依次点亮。 方式二:停止彩灯运行,按下与89C51单片机P1.3相连接的按钮,则彩灯全部熄灭。 方式三:向下依次点亮彩灯,按下与89C51单片机P1.4相连接的按钮,则彩灯按照由上向下的顺序依次点亮。 方式四:向上依次点亮彩灯,按下与89C51单片机P1.5相连接的按钮,则彩灯按照由下向上的顺序依次点亮。 二、设计方案 以80C51单片机为控制核心,采用模块化的设计方案,运用LED彩灯、按键等组成电路,实现彩灯在开启时满足不一样的闪亮方法。按键可以在彩灯使用的时候选择不同的亮法,使彩灯流动的方向改变,键一可以使彩灯由上而下开始流动,键二可以使彩灯停止,三号键可以使彩灯由上而下流动,四键则可以使彩灯由下而上流动。通过按键能方便使用者选择节日彩灯的开启、流动方向和停止。系统框图如下: 三、单片机设计节日彩灯控制器的硬件电路设计

单片机设计过程中用到的管脚说明: 1、VCC(40):供电电压。 2、GND(20):接地。 3、P1端口(P1.0-P1.7):P1口是一个内部提供上拉电阻的8位双向I/O口, P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高电平,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。 4、P2端口(P2.0-P2.7):P2口为一个内部上拉电阻的8位双向I/O口,P2口 缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1” 时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。 5、P3端口(P3.0-P3.7):P3口管脚是一个带有内部上拉电阻的8位的双向I/O 端口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入端时,由于外部下拉为低电平,P3口将输出电流(ILL)。P3口也可作为AT89C51的一些特殊功能口,其中P3.3可作为外部中断1输入。 6、XTAL1(19):反向振荡放大器的输入及内部时钟工作电路的输入。 7、XTAL2(18):来自反向振荡器的输出。 8、EA(31):外部程序存储器访问允许控制端。 打开PROTEUS的ISIS编辑环境,从PROTEUS中选取该电路所需要的元器件,放置元器件、放置电源和地、连线得到下图所示的电路原理图,再点菜单栏工具下拉的电气规则检查,当规则检查出现:“NETLIST GENERATED OK NO ERC ERRORD FOUND”,表示通过检查。电路设计完成。

PLC彩灯控制课程设计

P L C彩灯控制课程设计 The Standardization Office was revised on the afternoon of December 13, 2020

基于P L C 的彩灯控制

目录 课程设计任务书 (1) 引言 (2) 一 PLC的定义及发展 (3) 二系统分配 (6) 硬件分配图 (6) 软件分配图 (7) 三方案设计 (8) 顺序工程图 (8) 程序运行步骤 (9) 四总结 (10) 参考文献 (11)

基于PLC的彩灯控制 一、控制要求: 系统启动后,L1、L5同时亮,然后熄灭;之后L2、L8同时亮,然后熄灭;接着L3、L7同时亮,然后熄灭;最后L4、L6同时亮,然后熄灭,按照此方式循环5次后再逆向闪烁5次,并循环。 二、设计任务 1.设计出硬件系统的结构图、接线图; 2.系统有启动、停止功能; 3.运用功能指令进行PLC控制程序设计; 4.程序结构与控制功能自行创新设计; 5.进行系统调试,实现上述功能。

引言 随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。在大型晚会的现场,彩灯更是成为不可缺少的一道景观。小型的彩灯多为采用霓虹灯管做成各种各样和多种色彩的灯管,或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。这些灯的控制设备多为数字电路。而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,数字电路则不能胜任。针对PLC日益得到广泛应用的现状,本文介绍PLC在不同变化类型的彩灯控制中的应用,灯的亮灭、闪烁时间 及流动 方向的控制均通过PLC来达到控制要求。在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,但就其工作模式,可分为三种主要类型:长明灯、流水灯及变幻灯。长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过PLC控制。流水灯负载变化频率高,变换速度快,使人有眼花缭乱之感,分为多灯流动、单灯流动等情形。变幻灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化,但频率不高。流水灯及变幻灯均适宜采用PLC控制。 关键词:PLC 循环控制

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

节日彩灯控制器设计

石家庄铁道大学四方学院 集中实践报告书 课题名称 节日彩灯控制器设计 姓 名 吴春慧 学 号 20137762 系、 部 电气工程系 专业班级 方1353-2 指导教师 牛晓燕 2016 年 7 月 6 日 ※※※※※※※※ ※ ※ ※ ※※ ※※ ※ ※ ※ ※ ※※※※ ※ 2013级 单片机接口设计课程设计

一、设计任务及要求: 设计任务: 设计一个节日彩灯控制器,通过按钮控制彩灯的各种变化。 设计要求: 1.设计4个按键S0、S1、S2、S3, S0—开始按此键则灯开始流动(由上而下); S1—停止,按此键则停止流动,所有灯为暗; S2—上,按此键则灯由上向下流动; S3—下,按此键则灯由下向上流动。 2.由按键控制功能的流水灯,其中的LED采取共阳极接法,通过依次向连接LED 的I/O口送出低电平,可实现题目要求的功能。 3.要求做出实物。 二、指导教师评语: 三、成绩 指导教师签名: 年月日

目录 第1章设计目的 (2) 第2章设计要求 (2) 第3章硬件电路设计 (2) 3.1 系统结构框图 (2) 3.2 STC89C52单片机 (3) 3.3 总电路图 (4) 第4章软件设计 (5) 4.1 主程序设计 (5) 4.2 LED灯子程序设计 (7) 4.2 延时子程序设计 (7) 4.3 源程序 (8) 第5章结论 (10) 参考文献 (11)

第1章设计目的 1、掌握单片机实际系统的开发步骤。 2、熟悉节日彩灯控制器的工作原理。 3、加深对单片机汇编语言的认识和理解,并会编程。 第2章设计要求 1、设计4个按键S0、S1、S 2、S3, S0—开始按此键则灯开始流动(由上而下); S1—停止,按此键则停止流动,所有灯为暗; S2—上,按此键则灯由上向下流动; S3—下,按此键则灯由下向上流动。 2、由按键控制功能的流水灯,其中的LED采取共阳极接法,通过依次向连接LED的I/O口送出低电平,可实现题目要求的功能。 3、要求做出实物。 第3章硬件电路设计 3.1 系统结构框图 节日彩灯控制系统主要由单片机、LED显示器、开关及电源组成。其结构框图如图3-1所示。 八个LED灯显示器 开关单片机最小系统5V电源 图3-1系统结构框图

彩灯控制器课程设计汇本报告

数字电子技术课程设计报告 专业名称: 班级: 姓名: 学号: 日期:

目录 一、设计要求 (1) 二、设计的作用、目的 (1) 三、设计的具体实现 (1) 1、系统概述 (1) 2、单元电路设计、分析与仿真 (2) 3、电路的安装与调试 (6) 四、心得体会及建议 (7) 五、附录 (7) 六、参考文献 (8)

设计报告 一、设计要求 说明:1.以十个指示灯作为显示器件,能自动的从左到右、从右到左的依次被点亮,如此周而复始,不断循环。 2.打开电源时可自动清零,每个指示灯被点亮的时间约为0.5S—2S 围。 3.用计算机画出电路设计图,进行仿真分析验证其正确性。 4.写设计说明书一份。 二、设计的作用、目的 1、为了培养学生运用相关课程的基础理论和技能解决实际问题,并进一步提高学生抓也基本技能、创新能力的重要实践教学环节。 2、培养学生正确的设计思想与方法、严谨的科学态度和良好的工作作风。 3、通过课程设计,学生受到设计与写作方法的初步训练,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计。 4、巩固、深化和扩展学生的理论知识与初步的专业技能。 5、熟悉各种芯片的功能和应用。 6、完成彩灯控制器的设计,绘出电路原理图。 7、调试电路,是否能正常工作。 理工大学课程设计:彩灯控制器 三、设计的具体实现 1)系统概述

此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路计数译码驱动电路显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图二所示。 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。

彩灯控制器的设计电子课程设计

彩灯控制器的设计 一.内容提要: 随着社会的发展,街道、商场或公共场所通常都装有各种五彩斑斓的灯饰,这些霓虹灯既可以美化人们的生活空间,也给这个社会增添了不少色彩。特别是每逢节日晚上都能看到街道旁都挂起五彩缤纷彩灯,给人一种节日的气氛。然而,彩灯作为我们生活中的一部分,我们既要知道其然,还要知其之所以然。因此,我们有必要去研究彩灯的工作原理。本次设计主要阐述了由电子电路设计一个彩灯控制器,控制红绿黄三个灯,按一定规律依次点亮。即由电子电路实现一个可循环效果的彩灯控制器。 本设计主要讲述了彩灯控制器的工作原理以及其各个组成部分,记述了我在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及对它们的调试、对调试结果的分析,到最后得到比较满意的实验结果的方方面面。 二设计主要要求及指标 1、控制红、绿、黄一组彩灯循环闪亮,变化的规律是:红→红绿→绿→ 黄绿→黄→全亮→全灭→红,如此循环,产生“流水”般的效果。 2、彩灯白天不亮,夜晚自动亮。 3、“流水”的速度由快到慢,再由慢到快循环变化。 三、设计提示 原理框图如图1所示 1、彩灯的亮灭共有七种情况,可设计一个七进制的计数器,用计数器的状态控 制彩灯的亮灭;计数器应能够自启动。 2、“流水”的速度的控制可用两片555定时器电路实现。两片555接成振荡频 率不同的多谐振荡器,用频率低的振荡器上积分电容的三角波信号,调制另一个频率高的振荡器的振荡频率,使其高低往复变化。 3、用光敏器件(光敏电阻、光敏二极管、光敏二极管等)检测周围环境的光强, 以区分白天、夜夜,控制彩灯的亮灭。

图1 彩灯控制器框图 四.设计思路 根据题目要求,整体结构为脉冲信号源输出一定频率的脉冲给七进制计数器,七进制计数器受脉冲控制输出Q 1、Q 2、Q 0的不同状态,从而控制逻辑电路,逻辑电路输出控制彩灯的亮灭,达到要求。 根据所学内容,可分别确定所需元件,脉冲信号源有很多种,但要频率可控,可采用CB555定时器组成的多谐振荡器和滑动变阻器完成,多谐振荡器是常用的一种矩形波发生器,滑动变阻器通过改变其内部电阻来改变其输出矩形波的频率。七进制计数器可通过74LS160型同步十进制计数器改接而得。逻辑电路可使用74LS138型3位二进制译码器控制彩灯。 光敏器件检测电路 脉冲发生 七进制计数逻 辑 电 路 红 黄 绿 Q2 Q1 Q0 D CP 加减控制 循环结束结束判别 时钟快慢 控制

数电课程设计-四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级:110422 学号:姓名:陈粤龙 评分:教师: 20 13 年9 月23 日 数字电路课程设计任务书20 12 -20 13 学年第 1 学期第19 周-20周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从1~8从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换。 进度安排 1.?布置任务、查阅资料、选择方案、领仪器设备:2天 2.?仿真、画PCB线路图:2天 3.?领元器件、制作、焊接:3天 4.?调试:2天 5.?验收:1天 6.?提交报告:2013-2014学年第一学期?2-3周 学生姓名:陈粤龙

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。 前 言 彩灯控制器有着非常广泛的运用,如:LED 彩灯,音乐彩灯控制器,二维彩灯控制器等等,现简单介绍如下: 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用 彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一 指导时间:2周 指导地点: E610 任务下达 2013年 9月 22日 任务完成 2013 年 9 月 25日 考核方式 1.评阅 □ 2.答辩 □ 3.实际操作□ 4.其它 □ 指导教师 系(部)主任 摘 要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D 触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D 触发器。当彩灯完成一种花样时,双D 触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲 分频 自动转换 控制器 数据选择器

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

流水灯课程设计(免费)..

数字电子技术课程设计报告 (彩灯控制器) 专 专业:电子信息工程 班级:7B1211 学号:123025 姓名:白旭飞 年月:2014-6-28

一、设计要求 1. 以8或10个指示灯作为显示器件,能自动的从左到右、从右到左自动的依次被点亮,如此周而复始,不断循环。 2.打开电源时控制器可自动清零,每个指示灯被点亮的时间相同约为0.5S~2S 范围内。 3.用计算机画出设计电路图,进行仿真分析验证其正确性。 4.写设计说明书一份(画总原理框图以及说明主要工作原理,单元电路的设计和元器件的选择,画出完整的电路图和元器件明细表,收获、体会及建议) 二、设计的作用,目的 1.作用 利用控制电路可使彩灯(例如霓虹灯)按一定的规律不断的改变状态,不仅可获得良好的观赏效果,且可以省电(与彩灯全亮相比)。 2.目的 用NE555芯片,74LS151芯片,74LS163芯片,74LS194,以及一些逻辑门芯片完成彩灯控制器。 三、设计的具体实现 1.系统概述 接通电源时,555占空比可调振荡器产生1s单位的脉冲,脉冲送到下一个模块74LS151计数器,目的实现模5计数器,达到每五秒生成一个脉冲输向下一个芯片74LS194移位寄存器以及计数器74LS163。进而彩灯在脉冲的作用下依次点亮,并实现循环,完成实验要求。 2.总体思路 先用555定时器用来生成1s标准单位cp脉冲,把脉冲给计数器74LS151,通过74LS151形成模5加法计数器,再将74LS151输出信号供给74LS194移位寄 存器输入端,Q 0,Q 1, Q 2 和Q 3 接彩灯然后连接几个逻辑门,把74LS194接成环形 计数器。就能实现基本电路要求。 3.方案设计 总体电路共分三大块。第一块实现时钟信号的产生;第二块实现灯亮灭情况的演示;第三块实现灯亮灭的控制及节拍控制。

课程设计报告(彩灯控制器)

西安文理学院机械电子工程系 课程设计报告 专业班级电子信息工程(1)班 课程数字电子技术 题目彩灯控制器 学号 学生姓名 指导教师 2010年 1月

西安文理学院机械电子工程系 课程设计任务书 学生姓名专业班级学号 指导教师职称教授教研室 课程数字电子技术 题目 彩灯控制器 任务与要求 1.掌握NE555定时器的原理及使用方法。 2.学习74LS138译码器的使用方法。 3.掌握CD4040计数器的使用方法。 4.按照设计图连好电路,实现所需功能。 5.攥写课程设计报告 开始日期 2009年12月28日完成日期2010年1月8日 2010年1月8日

目录 设计目的 (1) 设计任务和要求 (1) 总体设计方案 (2) 功能模块设计与分析 (5) 电路的安装与调试 (8) 实验仪器及元器件清单 (11) 心得体会 (10) 附录一系统电路图 (9)

一、设计目的 用CD4040芯片、LS138芯片、NE555芯片完成彩灯控制器。 二、设计要求和任务 通过循序渐进地独立完成数字电路的设计任务,加深对理论知识的理解,有效地提高了动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。重点提高在数字电路应用方面的实践技能,树立严谨的科学作风,培养综合运用理论知识解决实际问题的能力。通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 基本要求: (一).掌握数字逻辑电路分析和设计的基本方法 1.根据设计任务和指标,初选电路; 2.通过调查研究、设计计算,确定电路方案; 3.选测元器件,安装电路,独立进行试验,并通过调试改进方案; 4.分析课程设计结果,写出设计报告。 (二).培养一定自学能力和独立分析问题、解决问题能力 1.学会分析、找出解决问题的方法; 2.对设计中遇到的问题,能独立思考,查阅资料,寻找答案; 3.掌握一些测试电路的基本方法,课程设计中出现一般故障,能通过“分析、观察、判 断、试验、再判断”的基本方法独立解决; 4.能对课程设计结果进行分析和评价。 (三).掌握安装、布线、调试等基本技能 1.掌握常用的仿真软件,并能够利用仿真软件进行一定的电路调试、改进; 2.掌握数字电路布线、调试的基本技巧; 3.巩固常用仪器的正确使用方法。 (四).培养实践能力 通过严格的科学训练和工程设计实践,树立严肃认真、一丝不苟、实事求是的科学作风,并培养团结协作的精神。

(完整word版)stm32单片机节日彩灯控制器

燕山大学 课程设计说明书 题目:节日彩灯控制器设计 学院(系):__________________________________________ 年级专业: __________________________________________ 学号:__________________________________________ 学生姓名:__________________________________________ 指导老师:__________________________________________ 答辩日期:__________________________________________

燕山大学课程设计(论文)任务书

摘要 节日彩灯是生活中常常的装饰物品,是我国普遍流行的传统的民间的综合工艺品。彩灯艺术也是灯的综合性的装饰艺术。在当今社会里,彩灯已经成为我们生活当中的一部分,能给我们带来视觉上的享受,还能美化我们的生活。彩灯控制器主要是通过产生有规律变化的脉冲信号来实现彩灯的各种变化,他集中的应用了单片机、LED、自动控制等技术,是典型的基于单片机的电子产品。本文以stm32单片机为控制核心,采用模块化的设计方案,运用LED彩灯、按键等组成电路,实现才在开启时满足不一样的闪亮方法及方式。按K1—开始,按此键则灯开始流动(由上而下)。K2—停止,按此键则停止流动,所有灯为暗。K3—上,按此键则灯由上向下流动。K4—下,按此键则灯由下向上流动。通过按键能方便使用者选择不一样的亮法。并用仿真软件进行仿真按不同的方式点亮LED。还有就是这节日彩灯的制作成本低、精确度高、装调容易,为节日增添气氛,具一定的市场价值,因此制作了此节日彩灯。 关键词:节日彩灯;单片机;LED;设计;仿真

LED循环彩灯课程设计

××学院 《模拟电子技术》课程设计 题目 LED循环彩灯 学生姓名××× 专业班级电科(3)班 学号 201231000 院(系)电气工程学院 指导教师××

完成时间 2013年12月17日

目录 1、课程设计的目的 (1) 2、课程设计的任务与要求 (2) 2.1实验器材 (2) 2.2电子器件的识别 (2) 2.3焊接技术 (2) 2.4元器件安装及要求: (3) 3、设计方案与论证 (3) 3.1功能分析与具体要求 (3) 3.2设计思路 (4) 4、设计原理及功能说明 (4) 5、单元电路的设计(计算与说明) (6) 6、硬件的制作与调试 (7) 7、总结 (10) 参考文献: (12)

附录1:总体电路原理图.......................错误!未定义书签。附录2:元器件清单.. (14)

1、课程设计的目的 当今世界,彩灯已经成为我们生活的一部分,能给我们带来视觉上的享受还能美化我们的环境。街角巷里,高楼大厦无处不是因它炫彩夺目以及控制简单等特点而得到了广泛的应用,用LED彩灯来装饰街道和城市建筑已经成为一种潮流。发光二极管简称为LED。它是一种能发光的半导体电子元件。这种电子元件早在1962年出现,早期只能发出低光度的红光,之后发展出其他单色光的版本,时至今日能发出的光已遍及可见光、红外线及紫外线,光度也提高到相当的光度。具有效率高、寿命长、不易破损、开关速度高、高可靠性等传统光源不及的优点。白光LED的发光效率,在近几年来已经有明显的提升。因此人们现在更侧重于用LED来照明以及装饰屋内及屋外环境,LED广泛应用与生活的各个方面,不断走进人们的生活也不断地改变着人们的生活。 本实验主要是结合我们以往所学课程的基础理论、基本技能和专业知识的能力,不仅要考虑总体电路的设计还要考虑系统各部分电路的选择、设计及它们之间的相互连接。主要目的是在实践中逐步培养我们建立正确的设计思想,掌握工程设计的一般程序、规范和方法。 (1)了解各种元器件的性能、作用和工作原理; (2)掌握一定的焊接技术以及简单元器件装配; (3)学习印刷电路板;

多路彩灯控制器课程设计

物理与电气工程学院课程设计报告 多路彩灯控制器 姓名 ** ** 学号 ********* 班级电气工程及其自动化1班 年级 2011级 指导教师李 *** 成绩 日期 2013.4.8

摘要八路循环彩灯控制器整体电路由三部分组成:脉冲发生电路、移位寄存器、控制电路。其中用时钟脉冲来启动电路,使其发出不同的频率产生不一样的脉冲,控制发光二极管,使电路更好的工作。主要采用 74LS194 芯片接成扭环形结构的移位器来实现,最后做到两种花型的彩灯循环控制。 一、实验目的: 根据知识掌握情况和兴趣选择题目,给出功能设计方案,插接、调试电路,完成要求的任务,达到巩固和应用“电子技术基础”和“数字电路与逻辑设计”课程基本理论和方法,初步掌握模拟与数字电路系统设计基本方法的目的。 二、实验要求: 设计一个4路移存型彩灯控制器,彩灯用发光二极管LED模拟,具体要求如下: 1、能演示三种花型,花型自拟。 2、选作:彩灯明暗变换节拍为1.0s和0.5s,两种节拍交替运行。 三、实验元件: 555定时器 1个 74194 2个 74161 2个 7404 2个

电阻150kΩ 1个 电阻4.7KΩ 1个 电阻20Ω 8个 电容4.7uF 1个 电容0.1 uF 1个 四、总体方案的设计: 经过分析问题及初步的整体思考,设计方案如下: 需要实际时钟产生电路,循环控制电路和彩灯左右移,及全亮全灭输出电路。时钟脉冲产生电路由脉冲发生器产生连续的脉冲。循环电路采用74LS161 ,74LS194实现彩灯的循环控制。具体主要通过两片双向移位寄存器74LS194 来实现彩灯电路控制,通过脉冲发生器来产生连续时钟信号的输入,由74LS161 计数器来控制信号的移动方向,实现左移,右移及亮灭的功能。总体电路原理图如下: 五、单元电路的设计:

彩灯控制器电路设计报告

西安科技大学高新学院 毕业设计(论文) 题目彩灯控制器电路设计 院(系、部) 机电信息学院 专业及班级电专1202班 姓名张森 指导教师田晓萍 日期 2015年5月28日

摘要 随着微电子技术的发展,人民的生活水平不断提高,人们对周围环境的美化和照明已不仅限于单调的白炽灯,彩灯已成为时尚的潮流。彩灯控制器的实用价值在日常生产实践,日常生活中的作用也日益突出。基于各种器件的彩灯也都出现,单片机因其价格低廉、使用方便、控制简单而成为控制彩灯的主要器件。 目前市场上更多用全硬件电路实现,电路结构复杂,结构单一,一旦制成成品就只能按固定模式,不能根据不同场合,不同时段调节亮度时间,模式和闪烁频率等动态参数,而且一些电路存在芯片过多,电路复杂,功率损耗大,亮灯样式单调缺乏可操作性等缺点,设计一种新型彩灯已迫不及待。 近年来,彩灯对于美化、亮化城市有着不可轻视的重要作用。因此作为城市装饰的彩灯需求量越来越大,对于彩灯的技术和花样也越来越高。目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,存在电路结构复杂、功能单一等局限性,因此有必要对现有的彩灯控制器进行改进。 关键词:LED彩灯;STC-89C52单片机;彩灯控制器。

目录 1前言 (1) 1.1设计目的 (1) 1.2设计要求 (1) 1.3总体方案设计与选择的论证 (2) 2节日彩灯控制器的设计 (4) 2.1核心芯片及主要元件功能介绍 (4) 2.1.1 AT89S52芯片 (4) 表1 (5) 2.1.2 74HC377芯片 (5) 2.1.3 74HC138芯片 (6) 2.2硬件设计 (7) 2.2.1直流电源电路 (7) 2.2.2按键电路 (8) 2.2.3时钟复位电路 (8) 2.2.4 LED显示电路 (9) 2.2.5硬件调试 (9) 2.3软件设计 (10) 3 总结 (15) 3.1实验方案设计的可行性、有效性 (15) 3.2设计内容的实用性 (15) 3.3心得 (16) 附录 (16) 参考文献 (18) 致谢 (19)

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

基于-单片机的节日彩灯设计

单片机课程设计 题目: 院(系): 专业: 学号: 姓名: 指导教师:

摘要 彩灯控制器在我们的日常生活中有着重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次报告中设计的花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 查阅相关资料,用简单的输入输出端口等硬件,结合实际彩灯的亮灭控制过程进行设计。利用键盘上的灯实现灯的各种形式的变换,使彩灯变换的样式更多,克服了节日彩灯变换样式单调的缺点,使节日彩灯朝着变换多种多样的方式发展。 关键词:可编程节日彩灯;循环;控制高低电平;实现对彩灯的控制

目录 目录 引言 (4) 1、设计目的与要求 (5) 2、设计总体方案 (5) 3、模块电路的设计 (7) 4、独立设计部分 (10) 5、焊接制作与调试结果及其分析 (12) 6、设计过程中的问题及其解决方案 (13) 7、心得体会 (13) 8、改进工作展望 (14) 参考文献 (14) 附录一 (15) 附录二 (17) 附录三 (19) 1 设计目的与要求 1.1引言 在现代生活中,彩灯作为一种景观,安装在建筑物的适当地方。一是作为装饰增添

节日气氛,二是有一种广告宣传的作用:用在舞台上增强晚会灯光效果。 彩灯控制器在我们的日常生活中有着重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次报告中设计的花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 数码管是一种半导体发光器件,其基本单元是发光二极管。通过对其不同的管脚输入相对的电流,会使其发亮,从而显示出数字能够显示时间、日期、温度等所有可用数字表示的参数。由于它的价格便宜、使用简单,在电器特别是家电领域应用极为广泛,空调、热水器、冰箱等等。绝大多数热水器用的都是数码管,其他家电也用液晶屏与荧光屏。 可编程节日彩灯打破常规彩灯闪烁固定变化的现状,可根据人们不同的意愿进行编程设计出想要的变换的色彩效果。查阅相关资料,用简单的输入输出端口等硬件,结合实际彩灯的亮灭控制过程进行设计。利用键盘上的灯实现灯的各种形式的变换,使彩灯变换的样式更多,克服了节日彩灯变换样式单调的缺点,使节日彩灯朝着变换多种多样的方式发展。 1.2设计目的 通过课程设计使我们更进一步掌握微机原理与应用课程的有关知识,通过查阅资料,阅读程序,提高设计程序的能力及动手能力,使编程水平有一定的提高,同时也会提高我们通过动手进行硬件设计及程序设计从而提高解决实际问题的能力。 1.3实验要求———————————— 1. 用16盏以上的LED小灯,实现至少4种彩灯灯光效果(不含全部点亮,全部熄灭; 2. 手动模式与自动模式切换:设置一个按钮可以在自动模式和手动模式间切换; 3. 手动模式可以用输入按钮在几种灯光效果间切换; 4. 自动模式下自动在几种效果间切换的功能; 5. 可以通过按钮暂停彩灯效果,使小灯全亮并闪烁,再次按下相同按钮后继续之前的效果。 2 设计总体方案 2.1硬件设计的思路、原理、设计细节 用单片机最小系统控制彩灯电路用流水灯的方式实现彩灯自动闪烁,彩灯电路总共有32的LED共隐接法组成,彩灯电路有三个部分,八个LED组成“二”,十六个LED组成字母“G”,八个LED组成字母“O”。用P0口的P1.0、P1.1、P1.2、P1.3控制四个LED点亮或熄灭,P1.0、P1.1、P1.2、P1.3控制四个LED点亮或熄灭,用P1和P2控制字母“G”的点亮或熄灭,用P3控制字母“O”的点亮熄灭。 2.2、软件设计的思路、原理、设计细节 采用C语言编写控制程序,程序设计思路用流水灯先点亮“二”,然后再点亮字母“G”,最后点亮“O”。

相关文档
最新文档