《EDA技术及应用》朱正伟-三,四,五章部分课后题答案.

《EDA技术及应用》朱正伟-三,四,五章部分课后题答案.
《EDA技术及应用》朱正伟-三,四,五章部分课后题答案.

第三章

3-5设计一个4选1多路选择器,当选择输入信号分别取“00”、“01”、“10”和“11”时,输出信号分别与一路输入信号相连。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MAX4_1 IS

PORT(A,B,C,D,S1,S2 : IN STD_LOGIC;

Y : OUT STD_LOGIC);

END ENTITY MAX4_1;

ARCHITECTURE HF1 OF MAX4_1 IS

SIGNAL SS : STD_LOGIC_VECTOR (0 TO 1);

BEGIN

SS<=S2&S1;

PROCESS(SS)

BEGIN

CASE SS IS

WHEN "00" => Y<=A;

WHEN "01" => Y<=B;

WHEN "10" => Y<=C;

WHEN "11" => Y<=D;

WHEN OTHERS => NULL;

END CASE;

END PROCESS;

END HF1;

3-6设计一个7人表决电路,参加表决者7人,同意为1,不同意为0,同意者过半则表决通过,绿指示灯亮;表决不通过则红指示灯亮。设计思路:根据7人表决电路设计要求,7人中至少有4个通过才可以表决通过,故可以在程序中设置一个变量TEMP,使其在表决电路中遇1则加1,遇0则加0(设计中1表示通过,0表示不通过)。当TEMP>=4时,表示表决通过,当TEMP<4时表决不通过。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY VOTE7 IS

PORT (MEN:IN STD_LOGIC_VECTOR(6 DOWNTO 0);

OUTPUT: OUT BIT);

END VOTE7;

ARCHITECTURE BEHA VE OF VOTE7 IS

BEGIN

PROCESS(MEN)

V ARIABLE TEMP: INTEGER RANGE 0 TO 7;

BEGIN

TEMP:=0;

FOR I IN 0 TO 6 LOOP

IF(MEN(I)='1')THEN

TEMP:=TEMP+1;

ELSE

TEMP:=TEMP;

END IF;

END LOOP;

CASE TEMP IS

WHEN 0 TO 3 =>OUTPUT<='0';

WHEN 4 TO 7 =>OUTPUT<='1';

END CASE ;

END PROCESS;

END BEHA VE;

第四章

4-6试写出4选1多路选择器VHDL描述。选择控制信号为S1和S0,输入信号为A,B,C,D,输出信号为Y。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MUX41A IS

PORT (A,B,C,D,S0,S1:IN STD_LOGIC; --输入信号

Y:OUT STD_LOGIC); --输出信号

END ENTITY MUX41A;

ARCHITECTURE ONE OF MUX41A IS

SIGNAL S:STD_LOGIC_VECTOR(1 DOWNTO 0);

BEGIN

S<=S0&S1; --并置操作,获得二维矢量数据类型

PROCESS(S0,S1,A,B,C,D) --敏感信号

BEGIN

CASE S IS

WHEN"00"=> Y<=A;

WHEN"01"=> Y<=B;

WHEN"10"=> Y<=C;

WHEN"11"=> Y<=D;

WHEN OTHERS=>NULL; --其它情况为空值

END CASE; --CASE语句结束

END PROCESS; --PROCESS进程语句结束

END ARCHITECTURE ONE;

4-7使给出1位全减器的VHDL描述,要求:首先设计1位半减器,然后用例化语句将它们连接起来。设X为被减数,Y为减数,SUB_IN是借位输入,DIFF是输出差,SUB_OUT是借位输出。

(1.1):实现1位半减器H_SUBER(DIFF=X-Y;S_OUT=1,X

LIBRARY IEEE; --半减器描述(1):布尔方程描述方法

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY H_SUBER IS

PORT( X,Y: IN STD_LOGIC;

DIFF,S_OUT: OUT STD_LOGIC);

END ENTITY H_SUBER;

ARCHITECTURE HS1 OF H_SUBER IS

BEGIN

DIFF <= X XOR (NOT Y);

S_OUT <= (NOT X) AND Y;

END ARCHITECTURE HS1;

--解(1.2):采用例化实现图4-20的1位全减器

LIBRARY IEEE; --1位二进制全减器顺层设计描述

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY F_SUBER IS

PORT(XIN,YIN,SUB_IN: IN STD_LOGIC;

SUB_OUT,DIFF_OUT: OUT STD_LOGIC);

END ENTITY F_SUBER;

ARCHITECTURE FS1 OF F_SUBER IS

COMPONENT H_SUBER --调用半减器声明语句

PORT(X, Y: IN STD_LOGIC;

DIFF,S_OUT: OUT STD_LOGIC);

END COMPONENT;

SIGNAL A,B,C: STD_LOGIC; --定义1个信号作为内部的连接线。

BEGIN

U1:H_SUBER PORT MAP(X=>XIN,Y=>YIN, DIFF=>A, S_OUT=>B); U2:H_SUBER PORT MAP(X=>A, Y=>SUB_IN, DIFF=>DIFF_OUT,S_OUT=>C);

SUB_OUT <= C OR B;

END ARCHITECTURE FS1;

二进制全加器,元件声明与元件例化(COMPONENT,PORT MAP)

//或门

LIBRARY IEEE; ;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY OR2A IS

PORT(A,B : IN STD_LOGIC;

C : OUT STD_LOGIC);

END OR2A;

ARCHITECTURE ART1 OF OR2A IS

BEGIN

C<=A OR B;

END ART1;

//半加器;

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY H_ADDER IS

PORT(A,B : IN STD_LOGIC;

CO,SO: OUT STD_LOGIC);

END H_ADDER;

ARCHITECTURE ART2 OF H_ADDER IS

BEGIN

SO <= A XOR B;

CO <= A AND B;

END ART2;

1位二进制全加器顶层设计:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164。ALL;

ENTITY F_ADDER IS

PORT(AIN,BIN,CIN : IN STD_LOGIC;

COUT,SUM : OUT STD_LOGIC);

END F_ADDER;

ARCHITECTURE ART3 OF F_ADDER IS

COMPONENT H_ADDER //元件声明;

PORT(A,B : IN STD_LOGIC;

CO,SO: OUT STD_LOGIC);

END COMPONENT;

COMPONENT OR2A

PORT(A,B : IN STD_LOGIC;

C : OUT STD_LOGIC);

END COMPONENT;

SIGNAL D,E,F : STD_LOGIC;

BEGIN

U1:H_ADDER PORT MAP(AIN,BIN,D,E); //元件例化;

U2:H_ADDER PORT MAP(A=>E,B=>CIN,CO=>F,SO=>SUM);

U3:OR2A PORT MAP(D,F,COUT);

END ART3;

第五章

5-1.试说明实体端口模式BUFFER和INOUT的不同之处?

答: BUFFER端口:缓冲模式,具有读功能的输出模式,即信号输出到实体外部,但同时也在内部反馈使用,不允许作为双向端口使用。而INOUT端口:双向模式,即信号的流通是双向的,既可以对此端口赋值,也可以通过此端口读入数据。

5-2.VHDL的数据对象有哪几种?它们之间有什么不同?

答:VHDL的数据对象有三种:信号、变量、常量。它们之间的的区别如下:

信号赋值至少有Δ延时,而变量和常量没有;信号除当前值外,有许多相关信息,变量只有当前值,常量的值在设计实体中始终不变;进程对信号敏感而对变量及常量不敏感;信号可以是多个进程的全局信

号,变量只在定义它们的顺序域可见,而常量的使用范围取决于它被定义的位置;信号是硬件连线的抽象描述信号赋值,赋值符号 <= 而变量和常量的赋值符号 :=。

5-3.说明下列各定义的意义:

SIGNAL a , b , c : BIT : =’0’;

CONSTANT TIME1 , TIME2 : TIME : 20ns ;

VARIABLE x , y , z : STD_LOGIC :=’x’;

答:○1定义3个位数据类型的信号A、B、C,它们取值为0;

○2定义2个时间数据类型的常量TIME1、TIME2,它们值为20NS; ○3定义3个标准逻辑位 STD_LOGIC数据类型的变量X、Y、Z,它们的值是强未知的。

5-4.什么是重载函数?重载运算符有何用处?如何调用重载运算符函数?

答:为了方便各种不同数据类型间的运算,VHDL允许用户对原有的基本操作符重新定义,赋予新的含义和功能,从而建立一种新的操作符,这就是重载操作符,定义这种操作符的函数成为重载函数。重载运算符的作用是为了方便各种不同的数据类型间的运算。要调用重载运算符函数,先要在程序包中进行函数体的定义,调用的格式如下:X <=函数名(参数1,参数2,····)参数个数和类型与所定义的函数要保持一致。

5-5.数据类型BIT \ INTEGER \ BOOLEAN分别定义在哪个库中?哪些库和程序包总是可见的?

答:数据类型BIT \ INTEGER \ BOOLEAN均定义在STD库中。IEEE 库和程序包STD_LOGIC.1164 、STD_LOGIC_UNSIGNED、STD_LOGIC_SIGNED、STD_LOGIC_ARITH等总是可见的。

5-6.函数和过程有什么区别?

答:子程序有两种类型,即过程(PROCEDURE)和函数(FUNCTION)。它们的区别在于:过程的调用可以通过其界面获得多个返回值,而函数只能返回一个值;在函数入口中,所有参数都是输入参数,而过程有输入参数、输出参数和双向参数;过程一般被看作一种语句结构,而函数通常是表达式的一部分;过程可以单独存在,而函数通常作为语句的一部分调用。

5-7.若在进程中加入WAIT语句,应注意哪几个方面的问题?

答:应注意以下问题:○1已列出敏感信号的进程中不能使用任何形式的WAIT语句;○2一般情况下,只有WAIT UNTIL格式的等待语句可以被综合器所接受,其余语句格式只能在VHDL仿真器中使用;○3在使用WAIT ON语句的进程中,敏感信号量应写在进程中的WAIT ON语句后面;○4在不使用WAIT ON语句的进程中,敏感信号量应在开头的关键词PROCESS后面的敏感信号表中列出。

5-8.哪些情况下需用到程序包STD_LOGIC_UNSIGNED?试举一例。答:○1调用数据类型变换函数或重载运算符函数时;○2定义UNSIGNED 类型的数据时。举例如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

·

·

IF TEMP="11111111"THEN TEMP:= "00000000";

ELSE TEMP:=TEMP+16;END IF;···

5-9.为什么说一条并行赋值语句可以等效为一个进程?如果是这样的话,怎样实现敏感信号的检测?

答:因为信号赋值语句的共同点是赋值目标必须都是信号,所有赋值语句与其它并行语句一样,在结构体内的执行是同时发生的,与它们的书写顺序没有关系,所以每一信号赋值语句都相当于一条缩写的进程语句。由于这条语句的所有输入信号都被隐性地列入此缩写进程的敏感信号表中,故任何信号的变化都将相关并行语句的赋值操作,这样就实现了敏感信号的检测。

5-10.比较CASE语句和WITH_SELECT语句,叙述它们的异同点?答:相同点:CASE语句中各子句的条件不能有重叠,必须包容所有的条件;WITH_SECLECT语句也不允许选择值有重叠现象,也不允许选择值涵盖不全的情况。另外,两者对子句各选择值的测试都具有同步性,都依赖于敏感信号的变化。不同点:CASE语句只能在进程中使用,至少包含一个条件语句,可以有多个赋值目标;WITH_SECLECT 语句根据满足的条件,对信号进行赋值,其赋值目标只有一个,且必须是信号。

5-11.将以下程序段转换为WHEN_ELSE语句:

PROCESS (a , b ,c ,d)

BEGIN

IF a=’0’AND b=’1’THEN NEXT1 <="1101";

ELSEIF a=’0’THEN NEXT1 <=d;

ELSEIF b=’1’THEN NEXT1 <=c;

ELSE NEXT1 <="1011";

END IF;

END PROCESS;

原程序转换如下:

ARCHITECTURE one OF mux IS

BEGIN

PROCESS (a , b ,c ,d)

BEGIN

NEXT1 <="110"1WHEN a=’0’AND b=’1’ELSE

d WHEN a=’0’ELSE

c WHEN b=’1’ELSE

"1011";

END one;

END PROCESS;

5-12使给出一位全减器的算法描述、数据流描述、结构描述和混合描述。

数据流描述:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY F_SUB1 IS

PORT(

A,B :IN STD_LOGIC;

CIN :IN STD_LOGIC;

DIFF,COUT : OUT STD_LOGIC

);

END;

ARCHITECTURE A OF F_SUB1 IS

SIGNAL S :STD_LOGIC_VECTOR(2 DOWNTO 0);

BEGIN

S<=CIN&A&B;

PROCESS(A,B,CIN)

BEGIN

CASE S IS

WHEN "000" => DIFF<='0';COUT<='0';

WHEN "001" => DIFF<='1';COUT<='1';

WHEN "010" => DIFF<='1';COUT<='0';

WHEN "011" => DIFF<='0';COUT<='0';

WHEN "100" => DIFF<='1';COUT<='1';

WHEN "101" => DIFF<='0';COUT<='1';

WHEN "110" => DIFF<='0';COUT<='0';

WHEN "111" => DIFF<='1';COUT<='1';

WHEN OTHERS=> DIFF<='X';COUT<='X';

END CASE;

END PROCESS;

END A;

行为描述:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY F_SUB4 IS

PORT(

A,B,CIN : IN STD_LOGIC;

DIFF,COUT : OUT STD_LOGIC

);

END;

ARCHITECTURE A OF F_SUB4 IS

BEGIN

DIFF<=A XOR B XOR CIN;

COUT<=(NOT A AND B) OR (NOT A AND CIN) OR (B AND CIN); END A;

5-13用VHDL描述下列器件的功能:

(1)十进制——BCD码编码器,输出使能为低电平有效。LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY BIN_BCD IS

PORT(

BIN : IN INTEGER RANGE 0 TO 20;

--ENA : IN STD_LOGIC;

BCD_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)

);

END;

ARCHITECTURE A OF BIN_BCD IS

BEGIN

BINARY_BCD : BLOCK

BEGIN

BCD_OUT <= "00000000" WHEN BIN = 0 ELSE

"00000001" WHEN BIN = 1 ELSE

"00000010" WHEN BIN = 2 ELSE

"00000011" WHEN BIN = 3 ELSE

"00000100" WHEN BIN = 4 ELSE

"00000101" WHEN BIN = 5 ELSE

"00000110" WHEN BIN = 6 ELSE

"00000111" WHEN BIN = 7 ELSE

"00001000" WHEN BIN = 8 ELSE

"00001001" WHEN BIN = 9 ELSE

"00010000" WHEN BIN = 10 ELSE

"00010001" WHEN BIN = 11 ELSE

"00010010" WHEN BIN = 12 ELSE

"00010011" WHEN BIN = 13 ELSE

"00010100" WHEN BIN = 14 ELSE

"00010101" WHEN BIN = 15 ELSE

"00010110" WHEN BIN = 16 ELSE

"00010111" WHEN BIN = 17 ELSE

"00011000" WHEN BIN = 18 ELSE

"00011001" WHEN BIN = 19 ELSE

"00100000" WHEN BIN = 20 ELSE

"00000000";

END BLOCK;

END A;

(2)时钟(可控)RS触发器。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY FFRS IS

PORT(S,R: IN STD_LOGIC;

Q,QB: OUT STD_LOGIC);

END FFRS;

ARCHITECTURE RTL OF FFRS IS

SIGNAL QN,NQN: STD_LOGIC;

BEGIN

QN<= R NOR NQN;

NQN<= S NOR QN;

Q<=QN;

QB<=NQN;

END RTL;

(3)带复位端、置位端、延迟为15NS的响应CP下降沿触发的JK触发器。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY JKFF IS

PORT(

J,K,RST,CLR : IN BIT;

CLK : IN BIT;

Q,NQ : OUT BIT

);

END;

ARCHITECTURE A OF JKFF IS

SIGNAL Q_S,NQ_S : BIT;

BEGIN

PROCESS(J,K,RST,CLR,CLK)

BEGIN

IF RST='1' THEN

Q_S<='1';

NQ_S<='0';

ELSIF CLK'EVENT AND CLK='0' THEN

IF CLR='1' THEN

Q_S<='0';

NQ_S<='1';

ELSIF J='0' AND K='1' THEN

Q_S<='0';

NQ_S<='1';

ELSIF J='1' AND K='0' THEN

Q_S<='1';

NQ_S<='0';

ELSIF J='1' AND K='1' THEN

Q_S<=NOT Q_S;

NQ_S<=NOT NQ_S;

END IF;

ELSE

NULL;

END IF;

Q<=Q_S;

NQ<=NQ_S;

END PROCESS;

END A;

(4)集成计数器74161

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT4 IS

PORT(

CLK,LDN,CLRN : IN STD_LOGIC;

D,C,B,A : IN STD_LOGIC;

CARRY : OUT STD_LOGIC;

QD,QC,QB,QA : OUT STD_LOGIC

);

END;

ARCHITECTURE A OF CNT4 IS

SIGNAL DATA_IN: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN

DATA_IN<=D&C&B&A;

PROCESS(DATA_IN,CLK,LDN,CLRN)

V ARIABLE CNT:STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

IF CLRN='0' THEN

CNT:=(OTHERS=>'0');

ELSIF CLK'EVENT AND CLK='1' THEN

IF LDN='0' THEN

CNT:=DATA_IN;

ELSE

CNT:=CNT+1;

END IF;

END IF;

CASE CNT IS

WHEN "1111"=> CARRY<='1';

WHEN OTHERS=> CARRY<='0';

END CASE;

QA<=CNT(0);

QB<=CNT(1);

QC<=CNT(2);

QD<=CNT(3);

END PROCESS;

END A;

5-14用VHDL描述一个三态输出的双4选一的数据选择器,其地址信号共用,且各有一个低电平有效的使能端。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY DUAL_MUX_41 IS

PORT(

A,B,C,D : IN STD_LOGIC;

ENA_N,ENB_N : IN STD_LOGIC;

S : IN STD_LOGIC_VECTOR(1 DOWNTO 0);

OUTA,OUTB : OUT STD_LOGIC

);

END;

ARCHITECTURE A OF DUAL_MUX_41 IS

SIGNAL P,Q : STD_LOGIC_VECTOR(2 DOWNTO 0);

BEGIN

P<=ENA_N & S;

Q<=ENB_N & S;

WITH P SELECT

OUTA<=A WHEN "000",

B WHEN "001",

C WHEN "010",

D WHEN "011",

'Z' WHEN OTHERS;

WITH Q SELECT

OUTB<=A WHEN "000",

B WHEN "001",

C WHEN "010",

D WHEN "011",

'Z' WHEN OTHERS;

END A;

5-15试用并行信号赋值语句分别描述下列器件的功能:

(1)3-8译码器

(2) 8选1数据选择器

(1)功能描述如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY decoder38 IS

PORT(a,b,c,g1,g1a,a2b:IN STD_LOGIC;

q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END decoder38;

ARCHITECTURE behave38 OF decoder38 IS

SIGNAL inda: STD_LOGIC_VECTOR(2 DOWNTO 0);

BEGIN

inda<=c&b&a;

PROCESS(indata,g1,g2a,g2b)

BEGIN

IF(g1='1' AND g2a='0' AND g2b='0') THEN

CASE inda IS

WHEN "000"=>q<="11111110";

WHEN "001"=>q<="11111101";

WHEN "010"=>q<="11111011";

WHEN "011"=>q<="11110111";

WHEN "100"=>q<="11101111";

WHEN "101"=>q<="11011111";

WHEN "110"=>q<="10111111";

WHEN "111"=>q<="01111111";

WHEN OTHERS =>q<="XXXXXXXX";

END CASE;

ELSE

q<="11111111";

END IF;

END PROCESS;

END behave38;

(2)功能描述如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY mux8 IS

PORT(d0,d1,d2,d3,d4,d5,d6,d7:IN STD_LOGIC_VECTOR(7 DOWNTO

0);

s0,s1,s2:IN STD_LOGIC;

q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END mux8;

ARCHITECTURE behave OF mux8 IS

SIGNAL s: STD_LOGIC_VECTOR(2 DOWNTO 0);

BEGIN

s <=s2&s1&s0;

WITH s SECLECT

d <=d0 WHEN "000",

d1 WHEN "001",

d2 WHEN "010",

d3 WHEN "011",

d4 WHEN "100",

d5 WHEN "101",

d6 WHEN "110",

d7 WHEN "111",

‘X’WHEN OTHERS;

END behave;

5-16利用生产语句描述一个由n个一位全减器构成的n位减法器,n 的默认值为4。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY F_SUB4_2 IS

GENERIC (N : INTEGER := 4);

PORT(

A,B : IN STD_LOGIC_VECTOR(N-1 DOWNTO 0);

CIN : IN STD_LOGIC;

DIFF: OUT STD_LOGIC_VECTOR(N-1 DOWNTO 0);

COUT: OUT STD_LOGIC

);

END;

ARCHITECTURE A OF F_SUB4_2 IS

COMPONENT F_SUB1 IS

PORT(

A,B,CIN : IN STD_LOGIC;

DIFF,COUT : OUT STD_LOGIC

);

END COMPONENT;

SIGNAL C :STD_LOGIC_VECTOR(N DOWNTO 0);

BEGIN

C(0)<=CIN;

N1: FOR I IN 0 TO N-1 GENERATE

U1: F_SUB1 PORT MAP(A(I),B(I),C(I),DIFF(I),C(I+1));

END GENERATE;

COUT<=C(N);

END A;

5-17用VHDL语言设计实现输出占空比为50%的1000分频器。LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY DIV_1000 IS

PORT(

CLK ,CLR: IN STD_LOGIC;

DIV : OUT STD_LOGIC

);

END;

ARCHITECTURE A OF DIV_1000 IS

SIGNAL Q : STD_LOGIC;

BEGIN

DIV<=Q;

PROCESS(CLK,CLR)

V ARIABLE CNT : INTEGER RANGE 0 TO 499; BEGIN

IF CLR='1' THEN

CNT:=0; Q<='0';

ELSIF RISING_EDGE(CLK) THEN

IF CNT=499 THEN

CNT:=0;

Q<=NOT Q;

ELSE

CNT:=CNT+1;

END IF;

END IF;

END PROCESS;

END A;

第四章 练习题及参考答案

第四章 静态场的解 练习题 1、设点电荷q 位于金属直角劈上方,其坐标如右图所示,求 (1) 画出镜像电荷所在的位置 (2) 直角劈内任意一点),,(z y x 处的电位表达式 (3) 解:(1)镜像电荷所在的位置如图1所示。 (2)如图2所示任一点),,(z y x 处的电位为 ??? ? ??-+-= 4321011114r r r r q πεφ 其中, ()()()()()()()()2 22422 232 2222 22121212121z y x r z y x r z y x r z y x r +-++= ++++=+++-=+-+-= 2、 两个点电荷Q +和Q -位于半径为a 的接地导体球的直径延长线上,距球心均为 d 。证明镜像电荷构成一位于球心的电偶极子,且偶极矩大小为232d Q a 。 证明:由点电荷的球面镜像法知,+Q 和-Q 的镜像电荷Q Q ''',分别位于球内+Q 和- Q 连线上大小分别为Q D a μ,且分别距球心为D a 2(分别位于球心两侧)。可见Q Q ''',构 成电偶极子,由电偶极距的定义式得偶极距的大小为: 图1 图2 q - q +q -

2 322D Q a D a Q D a ql p =?==。结论得证。 3、已知一个半径为a 的接地导体球,球外一个点电荷q 位于距球心O 为d 处。利用镜像法求球外空间任意点的电位分布。 解:由点电荷的球面镜像法可知,q 的像电荷q '必定位于球内,且在q 与球心0连线上,位置在距离球心设为f 处。建立直角坐标系,由边界条件(?球)=0可取球面上两个特殊点B A ,讨论。B A ,是q 与球心0连线所对应的直径与球面的两个交点。由图示及点电荷的电位公式得: 0)(4)(4)(00=+' ++= f a q a d q A πεπε?, 0) (4)(4)(00=-' +-= f a q a d q B πεπε?。 解此方程组得:d a f q d a q 2 ,=-='。 所以任意场点),(y x P 处的电位为: r q r q ' '+ = 0044πεπε?。 其中r r ',分别是点电荷q 和q ' 到场点P 的距离。 值分别为21 2221 22])[(,])[(y f x r y d x r +-='+-=。 4、半径为a 的不接地导体球附近距球心O 为d (?d a )处有一点电荷q ,用镜像法计算 球外任一点的电位。 解:由点电荷的球面镜像法可知,q 的像电荷除了有q '(即导体球接地时对应的结果, q d a q -=',其位置为d a f 2=),还在球心处有另外一个镜像电荷q '',以保证导体球面电 势不为零的边界条件成立,且可知q q '-=''。 所以任意场点P 处的电位为: r q r q r q ' '''+ ' '+ = 000444πεπεπε?

小学四年级科学第四单元复习题(含答案)

蓟县小学科学四年级下册第四单元复习题 《岩石和矿物》 一、填空题: 1.按岩石的成因可以把岩石分成三大类,一类是(岩浆岩),一类是(沉积岩),一类是(变质岩)。 4.在岩石中可以看到一些古代生物的遗体或遗迹,这些叫做(化石)。 5.所有的岩石都是一种或几种(矿物)组成的。 6.岩石在(大气)、水、(生物)等长期联合作用下发生变化的现象叫风化。 8.我观察岩石的方法(眼睛观察)、(显微镜观察)、(化学反应观察),常见的岩石有(页岩)、(砂岩)、(石灰岩)、(大理石岩)和(花岗岩)等,其中遇盐酸冒泡的是(大理石岩)和(石灰岩),这是因为(其中的碳酸钙和盐酸发生化学反应,产生二氧化碳) 9.我能在家里找到一些岩石制品。如我们用的铅笔是用(石墨)做的。 10.先观察岩石的颜色和条痕,再测试岩石的软硬,最后观察岩石遇到盐酸的反映。 11.矿物中硬度最大的是(金刚石),硬度最小的是(石墨)。 12.花岗岩是由(石英)、(长石)、(云母)组成的。 13.岩石的主要特征: 花斑状,坚硬由石英、长石、云母三种矿物构成的岩石是(花岗岩)。 看起来像混凝土,由碎石子或卵石组成,粗糙很硬的岩石是(砾岩)。 薄层状结构,颗粒细,较软常有化石的岩石是(页岩)。 青灰色或灰色,颗粒细,光滑,较硬遇盐酸冒泡的岩石是(石灰岩)。 纯白色或有花纹,颗粒较粗,粗糙,较软,遇盐酸冒泡的岩石是(大理石)。 土黄色或灰色,由许多颗粒粗细差不多的沙子黏合在一起,粗糙,坚硬的岩石是(砂岩)。 很轻,能浮在水面,软,有许多小孔的石头是(浮石)。 14.矿物或岩石的硬度可以分为四个等级:软——用指甲刻划出痕迹;较软—不能用指甲刻划出痕迹,但能用铜钥匙刻划出痕迹;较硬——能用小刀刻划出痕迹;硬——用小刀都不能刻划出痕迹。 15.矿物的透明度分为透明、半透明和不透明。 16.岩石一般是由一种或者几种(矿物)组成的,我所知道的矿物有(盐)、(石墨)、(钻石)、(金)、(银)。 17.要想知道矿物的硬度,我们可以采用(指甲)、(钥匙或回形针)、(小刀)等去刻划矿物,判断其硬度。 18.矿物中硬度最大的是(金刚石),它广泛地应用于研磨、切割、抛光等重要工具中;硬度最小的是(石墨),它是重要的固体润滑剂,还用来制作(铅笔芯)。 21.世界上已经发现的矿物近( 4000 )种,我国已发现各种矿产( 171)种,这些矿产资源属于(国家),任何(组织)和个人不得私自开采。 二、选择题(把正确答案的序号填在题后的括号里) 22.、砾岩最主要的特点是( C )。 A 、灰色 B 、粗糙 C 、由小石或卵石组成 23、下面矿物中有六个面,并且每个面都是菱形的是( B )。 A 、方解石 B 、石英 C 、石盐 24、经过地球运动,在火山喷发过程中流淌出来形成的岩石是(B )。 A、化石 B、浮石 C、页岩 25、下面三种矿物,硬度最大的是( A )。A、石英 B、云母 C 、长石 26、沙漠中的石蘑菇主要是( C )作用形成的。A、水 B、生物 C 、大气 27、下列说法正确的是( A )。 A 岩石和矿物在人们开采之后就不可能再生了,因此要保护和合理利用。 B 岩石和矿物是取之不尽,用之不竭的。 C 岩石和矿物在自然界中是不变的。 28、下列矿物中颜色为黑色或红色,硬度相对较硬的是( C )

人教版四年级上册语文课后习题答案

四年级上册语文课后习题答案 1、《观潮》 1.让我们一起来想象“潮来前”、“潮来时”、“潮头过后”的景象,在交 流读后的感受。 答:“潮来前”的景象:江面上很平静,观潮人的心情急切。闷雷滚动、一条白线“潮来时”的景象:潮的声大,浪高,声如“山崩地裂”,形如“白色城墙”、“白色战马”。横贯江面 “潮头过后”的景象:潮头汹涌,漫天卷地,余威犹在,恢复平静,水位上涨。 2.我发现课文许多语句写得具体形象。找出来读一读,并谈谈对这些描 写的体会,在抄下来。 A 、宽阔的钱塘江横卧在眼前。 “横卧”一词具体形象地写出了钱塘江的宽阔。 B、再近些,只见白浪翻滚,形成一道两丈多高的白色城墙。 这句话把白浪比作白色城墙,从这个比喻中,我体会到大浪的浪潮很高、很宽、很壮观。 C、浪潮越来越近,犹如千万匹白色战马齐头并进,浩浩荡荡地飞奔而来。 这句话用比喻的修辞手法写出了大浪发出的巨响和浩大的声势。 4、《鸟的天堂》 1课文里五次提到鸟的天堂,为什么有的加了引号,有的没有加呢? 答:加引号的:引用别人说的话。 不加引号的:确实是的鸟的天堂、乐园。表明了作者对鸟的天堂的认同和赞叹. 2、读读下面的句子,体会画线部分: 我有机会看清它的真面目,真是一株大树,枝干的数目不可计数。 答:一株:说榕树只有“一株”,而不是“我”说的“许多株”,也不是“另一个朋友”说的“两株”。 枝干的数目不可计数:大榕树的枝干多,根多,“不可计数”是“没有办法清点、无法计算”的意思。“枝干的数目不可计数”的原因,是“枝上又生根,有许多根直垂到地上,伸进泥土里”。 那么多的绿叶,一簇堆在另一簇上面,不留一点儿缝隙。那翠绿的颜色,明亮的照耀着我们的眼睛,似乎每一片绿叶上都有一个新的生命在颤动。答:一簇堆在另一簇上面: 用堆可以表示出绿叶的茂盛,显出绿叶的多。 似乎每一片绿叶上都有一个新的生命在颤动: 作者从绿色中感受到有一种生命力在涌动,赞美榕树充满活力的蓬勃生机。 “起初周围是静寂的。后来忽然起了一声鸟叫。我们把手一拍,便看见一只大鸟飞了起来。接着又看见第二只,第三只。我们继续拍掌,树上就变得热闹了,到处都是鸟声,到处都是鸟影。大的,小的,花的,黑的,有的站在树枝上叫,有的飞起来,有的在扑翅膀。”

数据库应用基础第4章习题参考答案

习题 1.选择题 (1)设A、B两个数据表的记录数分别为3和4,对两个表执行交叉联接查询,查询结果中最多可获得(C )条记录。 A.3 B. 4 C. 12 D. 81 (2)如果查询的SELECT子句为SELECT A, B, C * D,则不能使用的GROUP B子句是( A )。 A.GROUP BY A B.GROUP BY A,B C.GROUP BY A,B,C*D D.GROUP BY A,B,C,D (3)关于查询语句中ORDER BY子句使用正确的是( C )。 A.如果未指定排序字段,则默认按递增排序 B.数据表的字段都可用于排序 C.如果在SELECT子句中使用了DISTINCT关键字,则排序字段必须出现在查询结果中 D.联合查询不允许使用ORDER BY子句 (4)在查询设计器中,不能与其他窗格保持同步的是(D )。 A.关系图窗格 B. 网格窗格 C.SQL窗格 D. 结果窗格 (5)下列函数中,返回值数据类型为int的是(B)。 A.LEFT B. LEN C.LTRIM D. SUNSTRING 2.填空题 (1) 在启动查询分析器时,在登录对话框中可使用(Local)作为本地服务器名称。 (2) 查询分析器窗口主要由对象浏览器和(查询)窗口组成。 (3) 从Windows“开始”菜单启动查询分析器后,默认数据库为(master)。 (4) 以表格方式显示的查询结果保存为(导出)文件,其文件扩展名为(csv);以文本方式显示的查询结果保存为(报表)文件,其文件扩展名为(rpt)。 (5) 可使用(PRINT)或(SELECT)语句来显示函数结果。 (6) 在查询语句中,应在(SELECT)子句中指定输出字段。 (7) 如果要使用SELECT语句返回指定条数的记录,则应使用(TOP)关键字来限定输出字段。 (8) 联合查询指使用(UNION)运算将多个(查询结果)合并到一起。 (9) 当一个子SELECT的结果作为查询的条件,即在一个SELECT语句的WHERE子句中出现另一个SELECT语句,这种查询称为(嵌套)查询。 (10) 连接查询可分为3种类型:(内连接)、(外连接)和交叉连接。 3.问答题 (1) 在SELECT语句中,根据列的数据对查询结果进行排序的子句是什么?能消除重复行的关键字是什么? (2) 写出与表达式“仓库号NOT IN('wh1','wh2')”功能相同的表达式。用BETWEEN、AND形式改写条件子句WHERE mark> 550 AND mark<650。 (3) 在一个包含集合函数的SELECT语句中,GROUP BY子句有哪些用途?

四年级科学练习题及答案.doc

四年级科学练习题及答案 一:填空题 1、脂肪会在纸上留下油渍,淀粉有遇到碘变蓝色的性质,蛋白质在燃烧时会发出像头发烧焦的气味。 2、维持人类生命健康的营养素,主要包括蛋白质、脂肪、淀粉、纤维素、维生素、矿物质、和水。 3我们每天吃的食物如果分为10份,其中米、面食应占4份左右,蔬菜、水果应占4份左右,牛奶、肉、蛋、汕应占2份左右。 3、像食盐、高镒酸钾这样,在水中变成极小的在、肉眼看不见的微粒,均匀地分散在水里, 不会自行沉降下来的现象叫做溶解o 4、由实验我们可以知道,搅拌、用热水、将物体研碎可以加快溶解。 5、除去水中不溶解的杂质和细菌的过程叫做水的净化。沉淀、过滤、消毒是三种常用的净化水的方法。 6、像向LT葵、风仙花、辣椒、苹果树这样的植物,他们都有根、茎叶、花、果实、种子六部分。 7、植物的根能固定植物、还能吸收水分和养分。根主要靠根毛伸进十?壤吸收水分。 8、植物体内的水吸收周围的热,变成水蒸气从叶的气孔跑出,腾飞到空中。叶的这种作用叫做蒸腾。 9、每一个叶绿体,都是一个小小的“绿色食品加工厂”。在阳光的照射下,叶绿体可以把根吸收来的水分和由气孔进来的二氧化碳,合成植物所需要的养料,同时释放出氧气。叶的这种作用叫做光合作用。 10、植物生长所需要的养料,绝大多数是绿叶制造的。 10、瓶了能过“吞”下鸡蛋,吸盘能够紧紧吸在一起,都是利用了大气压力。 11、地球周围包围着一层厚厚的空气,大约有20(——3000千米厚,人们把这层厚厚的空气叫做大气层。因为大气有重量,所以在大气中的物体都受到一种无形的压力,这种压力叫做大气压力。大气压力来日四面八方。 12、热可以沿着物体,从温度高的部分传到温度低的部分,这种传逆热的方式叫做传导。 13、热传递的方式有三种:传导、对流、辐射。热在水和空气主要是以对流方式传递的。 14、热可以不依靠任何物质直接仙周围发射,这种传递热的方式叫做辐射。 15、黑(深)色物体比白(浅)色物体吸收的辐射热多。 16、热总是从温度高的地方传到温度低的地方。 二、判断题 1、冬天,脏雪比干净的雪融化得快。(J) 2、热空气比冷空气轻,所以会下降。(X) 3、银、铁、铜、铝都是热的不良导体。(X) 4、做反冲实验时,物体运动的方|可与喷出气体的方|可相反。(") 5、金属材料容易传热,塑料、陶瓷、木头等材料不能传热。(X) 6、热在水和空气中主要是靠对流方式传递的。(J ) 7、用放大镜可以看到叶了表皮上的气孔。(X) 8、太阳能热水器的吸热多少和太阳能的摆放方式没关系。(X) 9、桀料杯,纸杯、玻璃杯,金属杯的保温性能一样。(X) 10、吸油烟机是利用了热空气会上升的原理来安装的。(J) 三、选择

汇编第五章课后题答案

1.从屏幕上输入小写字母,转化为大写字母输出 (解法1) DATA SEGMENT MESSAGE DB "ENTER A STRING:",0AH,0DH,'$' MAXLENGTH DB 50,?,50 DUP(?) ;每次最多可以输入49个字符DATA ENDS CODE SEGMENT ASSUME DS:DATA,CS:CODE START: MOV AX,DATA MOV DS,AX LEA DX,MESSAGE ;输出ENTER A STRING MOV AH,09H INT 21H LEA DX,MAXLENGTH ;输入字符串 MOV AH,0AH ;键盘输入到缓冲区,DS:DX=缓冲区首址 INT 21H ;(DS:DX)=缓冲区最大字符数,(DS:DX+1)=实际输入的字符数 MOV AH,02H ;输出回车换行 MOV DL,0AH INT 21H MOV AH,02H MOV DL,0DH INT 21H

MOV CL,MAXLENGTH+1;把字符的实际长度放入寄存器CL MOV CH,0 MOV BH,02H LEA SI,MAXLENGTH+2;取字符串的基地址放入SI XUN: MOV AL,[SI] CMP AL,'Z' JBE S1 ;小于等于'Z'转移 JMP S3 S1:CMP AL,'A' JAE DA ;大于等于'A'转移 JMP OUTPUT DA:ADD AL,20H JMP OUTPUT S3:CMP AL,'z' ;小于等于小Z转移 JBE S4 S4:CMP AL,'a' ;大于等于小a转移 JAE XIAO JMP OUTPUT XIAO: SUB AL,32 JMP OUTPUT OUTPUT: MOV DL,AL MOV AH,02H ;显示输出 INT 21H

第四章课后思考题及参考答案

第四章课后思考题及参考答案 1、为什么说资本来到世间,从头到脚,每个毛孔都滴着血和肮脏的东西? [答案要点]资本来到世间,从头到脚,每个毛孔都滴着血和肮脏的东西。资本主义的发展史,就是资本剥削劳动、列强掠夺弱国的历史,这种剥夺的历史是用血和火的文字载入人类编年史的。在自由竞争时代,西方列强用坚船利炮在世界范围开辟殖民地,贩卖奴隶,贩卖鸦片,依靠殖民战争和殖民地贸易进行资本积累和扩张。发展到垄断阶段后,统一的、无所不包的世界市场和世界资本主义经济体系逐步形成,资本家垄断同盟为瓜分世界而引发了两次世界大战,给人类带来巨大浩劫。二战后,由于社会主义的胜利和民族解放运动的兴起,西方列强被迫放弃了旧的殖民主义政策,转而利用赢得独立和解放的广大发展中国家大规模工业化的机会,扩大资本的世界市场,深化资本的国际大循环,通过不平等交换、资本输出、技术垄断以及债务盘剥等,更加巧妙地剥削和掠夺发展中国家的资源和财富。在当今经济全球化进程中,西方发达国家通过它们控制的国际经济、金融等组织,通过它们制定的国际“游戏规则”,推行以所谓新自由主义为旗号的经济全球化战略,继续主导国际经济秩序,保持和发展它们在经济结构和贸易、科技、金融等领域的全球优势地位,攫取着经济全球化的最大好处。资本惟利是图的本性、资本主义生产无限扩大的趋势和整个社会生产的无政府状态,还造成日益严重的资源、环境问题,威胁着人类的可持续发展和生存。我们今天看到的西方发达资本主义国家的繁荣稳定,是依靠不平等、不合理的国际分工和交换体系,依靠发展中国家提供的广大市场、廉价资源和廉价劳动力,通过向发展中国家转嫁经济社会危机和难题、转移高耗能高污染产业等方式实现的。资本主义没有也不可能给世界带来普遍繁荣和共同富裕。 2、如何理解商品二因素的矛盾来自劳动二重性的矛盾,归根结底来源于私人劳动和社会劳的矛盾?[答案要点]商品是用来交换的劳动产品,具有使用价值和价值两个因素或两种属性。在私有制条件下,商品所包含使用价值和价值的矛盾是由私有制为基础的商品生产的基本矛盾即私人劳动和社会劳动的矛盾所决定的。以私有制为基础的商品经济是以生产资料的私有制和社会分工为存在条件的。一方面,在私有制条件下,生产资料和劳动力都属于私人所有,他们生产的产品的数量以及品种等,完全由自己决定,劳动产品也归生产者自己占有和支配,或者说,商品生产者都是独立的生产者,他们要生产什么,怎样进行生产,生产多少,完全是他们个人的私事。因此,生产商品的劳动具有私人性质,是私人劳动。另一方面,由于社会分工,商品生产者之间又互相联系、互相依存,各个商品生产者客观上都要为满足他人和社会的需要而进行生产。因此,他们的劳动又都是社会劳动的组成部分。这样,生产商品的劳动具有社会的性质,是社会劳动。对此,马克思指出,当劳动产品转化为商品后,“从那时起,生产者的私人劳动真正取得了二重的社会性质。一方面,生产者的私人劳动必须作为一定的有用劳动来满足一定的社会需要,从而证明它们是总劳动的一部分,是自然形成的社会分工体系的一部分。另一方面,只有在每一种特殊的有用的私人劳动可以同任何另一种有用的私人劳动相交换从而相等时,生产者的私人劳动才能满足生产者本人的多种需要。完全不同的劳动所以能够相等,只是因为它们的实际差别已被抽去,它们已被化成它们作为人类劳动力的耗费、作为抽象的人类劳动所具有的共同性质。”私有制条件下,商品生产者私人劳动所具有的这二重性质,表现为生产商品的劳动具有私人劳动和社会劳动的二重性。 生产商品的私人劳动和社会劳动是统一的,同时也是对立的。其矛盾性表现在:作为私人劳动,一切生产活动都属于生产者个人的私事,但作为社会劳动,他的产品必须能够满足一定的社会需要,他的私人劳动才能转化为社会劳动。而商品生产者的劳动直接表现出来的是它的私人性,并不是它的社会性,他的私人劳动能否为社会所承认,即能否转化为社会劳动,他自己并不能决定,于是就形成了私人劳动和社会劳动的矛盾。这一矛盾的解决,只有通过商品的交换才能实现。当他的产品在市场上顺利地实现了交换之后,他的私人劳动也就成了社会劳动的一部分,他的具体劳动所创造的使用价值才是社会需要的,他的抽象劳动所形成的价值才能实现。如果他的劳动产品在市场上没有卖出去,那就表明,尽管他是为社会生产的,但事实上,社会并不需要他的产品,那么他的产品

青岛版四年级上册科学课后练习题及答案

四上科学学案 1 我们的食物 【课堂达标】 一、填空。 1.我们常吃的食物,有的来自动物,如牛、羊等;有的来自 植物,如小麦、苹果等;还有的来自微生物,如蘑菇等。食物虽 然多种多样,但都直接或见接地来自大自然。 2.像豆角、花生油等是植物性食物。猪肉、鱼、鸡肉等是动物性食物。 3.给食物分类的方法很多,可以按照原料的来源给食物分类,也可以按营养成分、食用方式、产地等标准给食物分类。 二、判断。 1.我们家天天吃火腿肠,因此火腿肠是我们家的主食。(×)2.像太空豆角、太空辣椒等食物是在太空育种的,因此太空蔬菜就不是来自于大自然的。(×)3.无论是芹菜、牛肉、牛奶,还是木耳、银耳这些食物都直接或间接来自植物。(√)三、选择题。 1.下列食物不是甜食的有( D )。 A.石榴B.西瓜C.甜菜D.苦瓜 2.下列食物不是主食的有(A)。 A.面包 B.馒头 C.米饭 D.面条 3.下列食物不同类的是( B C)。

2 我们的营养 【课堂达标】 一、填空。 1.维持人类生命健康的营养素,主要包括蛋白质、脂肪、淀粉、 3.蛋白质有燃烧时会发出像头发烧焦的气味。 4.用力在纸上挤压花生,花生会在纸上留下油迹,这说明花生米中含有脂肪。 二、我会选。 1.含蛋白质最多的是( A )。 A.瘦肉、鸡蛋、鱼、虾 B.向日葵、油菜籽 C.小麦、玉米、水稻 D.食盐、马铃薯 2.人体活动所需要的主要能原物质是( C )。 A.蛋白质、水、盐类 B.维生素 C.淀粉和糖类 D.脂肪 三、当好小法官。 1. 用烧烤法检验食物中蛋白质的存在时,最好把教室的窗户打开,以保持良好的通风效果。(×) 2.密封包装的食品即使过了保质期,只要时间不长,仍可以吃。(×) 四、生活中的科学。 统计自己每天所吃的食物,分析你每天都获得了哪些营养成分?因为你 【拓展知识】 各种营养中,水、蛋白质、矿物质是构成人体的重要元素;脂肪、淀粉是

微型计算机原理第2版西安电子科技大学出版社第五章汇编语言程序设计课后习题答案

第五章汇编语言程序设计 1、画图说明下列语句所分配的存储器空间及初始化的数据值。 (1) BYTE_V AR DB ‘BYTE’,12,-12H,3 DUP(0,7, 2 DUP(1,2),7) (2) WORD_V AR DW 5 DUP(0,1,2),7,-5,’BY’,’TE’,256H 答:(1)(2) BYTE_V AR WORD_V AR 2、假设程序中的数据定义如下: P ARTNO DW ? P NAME DB 16 DUP(?) C OUNT D D ?

P LENTH EQU $- PARTNO 问:PLENTH的值为多少?他表示什么意义? 答:PLENTH的值为22,它表示当前已分配单元空间。 3、有符号定义语句如下: B UF DB 1,2,3,’123’ E BU F DB 0 L EQU EBUF-BUF 问:L的值是多少? 答:L的值为6; 4、假设成序中的数据定义如下: LNAME DB 30 DUP(?) A DDRESS D B 30 DUP(?) C ITY DB 15 DUP(?) C ODE_LIST DB 1,7,8,3,2 (1)用一条MOV指令将LNAME的偏移地址存入BX。 (2)用一条指令将CODE_LIST的头两个字节的内容放入SI。 (3)写一条伪指令定义符使CODE_LENGTH的值等于CODE_LIST域的实 际长度。 答:(1) MOV BX,OFFSET LNAME (2) MOV SI,WORD PTR CODE_LIST (3) CODE_LENGTH EQU $- CODE_LIST 5、对于下面的数据定义,试说明三条MOV语句指令的执行结果。 T ABLEA DW 10 DUP(?) T ABLEB DB 10 DUP(?) T ABLEC DB ‘1234’ 答: M OV AX,LENGTH TABLEA ;(AX)=000AH M OV BL,LENGTH TABLEB ;(BL)=0AH M OV CL,LENGTH TABLEC ;(CL)=01H 6、对于下面的数据定义,各条MOV指令单独执行后,有关寄存器的内容是什么? P LDB DB ? T ABLEA DW 20 DUP(?) T ABLEB DB ‘ABCD’;答:

部编版四年级下册语文课后习题参考答案

部编版四年级下册语文课后习题参考答案第1课古诗词三首 2.读下面的诗句,说说你眼前浮现出了怎样的情景。 〔答案〕“儿童急走追黄蝶,飞入菜花无处寻。”描绘了儿童捕蝶的欢快场景。 “日长篱落无人过,惟有蜻蜓蛱蝶飞。”让我们看到农民早出晚归的场景,蛱蝶飞又让我们感受到静中有动的情景。 “大儿锄豆溪东,中儿正织鸡笼。最喜小儿亡赖,溪头卧剥莲蓬。”大儿子在溪东豆地里锄草,二儿子在家里编织鸡笼,三儿子只知任意地调皮玩耍,躺卧在溪边剥莲蓬吃。 第2课乡下人家 1.朗读课文,想象画面。如果给课文配画,你觉得可以画几幅?试着给每幅画取个名字。 〔答案〕7幅,屋前搭瓜架、门前种鲜花、屋后春笋冒、院里鸡觅食、河中鸭嬉戏、门前吃晚饭、夜静催眠曲。 2.你对课文描写的哪一处景致最感兴趣?和同学交流。 〔答案〕描写门前五颜六色的花和雨后春笋的景致我最感兴趣,本来是朴素的乡下,可是门前这些五彩缤纷的花朵、雨后的春笋又给本来朴素的乡下带来了勃勃生机,读完以后让我也向往乡下这样恬静安逸的生活。 3.读句子,再从课文中找出像这样写得生动形象的句子,抄写下来。

〔答案〕他们的屋后倘若有一条小河,那么在石桥旁边,在绿树荫下,会见到一群鸭子游戏水中,不时地把头扎到水下去觅食。天边的红霞,向晚的微风,头上飞过的归巢的鸟儿,都是他们的好友,它们和乡下人家一起,绘成了一幅自然、和谐的田园风景画。秋天到了,纺织娘寄住在他们屋前的瓜架上。月明人静的夜里,它们便唱起歌来:“织,织,织,织啊!织,织,织,织啊!”那歌声真好听,赛过催眠曲,让那些辛苦一天的人们,甜甜蜜蜜地进入梦乡。 选做 你眼里的乡村景致是怎样的?用一段话写下来。 〔答案〕大树也绿,小草也茂盛,花朵也艳丽,田园的风光真是美。近处,一棵棵大杨树挺直身板,抬起头,密密麻麻的绿叶里闪过一丝丝日影。远远地看去,这些杨树就像一名名威武的保卫者。小草又嫩又绿,茂密的草地就像一大块碧绿的地毯,躺在软软的绿地上,比躺在沙发上还要舒服。那的野花不是一般的香,在千里之外都可以闻到。每一朵花都神气十足地仰起头。每个人家的门前都有一块可爱的菜地,种有茄子、黄瓜、辣子、豇豆、草莓等蔬菜水果。从高处往下看,那些蔬菜水果就像一个个精英士兵,你就像那位大将军,准备率领十万精英去攻打敌人的城池。晚上,无数颗星星眨着眼睛,等我们睡着,星星才肯离开…… 乡下的田园风光真是美不胜收,哪里的景色都比不上田园风光的美丽。

(完整)苏教版四年级科学上册期末复习题及答案

小学科学四年级上册期末复习题及答案 班级_______ 姓名_______ 一、填空。(24分) 1、空气是一种无色、无味、透明的气体。空气会占据空间、有(质量)、有(弹性)、会(流动)、、能(压缩)。 2、被压缩的空气叫(压缩空气)。压缩空气有(弹性),空气被压缩的程度越大,产生的弹力就越(大)。 3、热空气比同体积的冷空气(轻)。空气受热时会(向上)流动,受冷时会(向下)流动。 4、空气具有流动性,总是热空气(上升),冷空气(下降)。中国古代人利用(热空气上升)的原理发明了“孔明灯”。法国人利用(热空气上升)的原理制造出载人热气球。 5、制冷空调应挂在房间的(上)方,取暖器应放在房间的(下)方。 6、因为地球是一个球体,所以地面上各处所受到太阳照射的情形就(不同),各地的冷热水平也就不一样,(冷热)的差异造成了空气的流动就形成了风。 7、空气主要是由(氧气)和(氮气)和少量的(二氧化碳)、(水蒸气)等气体混合而成。 8、二氧化碳气体在温度很低时,会酿成一种叫做(干冰)的固体。(干冰)在达到室温时又能恢复到气体状况。 9、在空气中支持燃烧的气体叫做(氧气),不能支持燃烧并且能使石灰水变浑浊的是(二氧化碳)。 10、如果空气中除了正常成分外,还增加了很多有害物质空气就被(污染)了。 11、(人类活动)是造成空气污染的主要原因。 12、减少空气污染的方法有(植树造林)、(减少交通工具尾气的排放)、(不焚烧垃圾)等。 13、森林的作用有(氧气制造厂)、(天然空调器)、(绿色蓄水库)、(空气净化器)。 14、热空气比同体积的冷空气(轻)。 15、二氧化碳能使澄清的石灰水变(浑浊)。 16、物体的冷热程度叫(温度)。 17、瘪了的乒乓球放入热水中变圆了,主要应用了(气体)的热胀冷缩。 18、造霜时加入冰和盐是为了使温度降到(0度以下)。 19、露珠是空气中的水蒸汽遇冷形成的,这种现象叫(凝结)。 20、不靠空气水或其他物体也能传递热,这种传热方式称为(辐射)。 21、一般情况下,人体的温度是(37)度,水烧开时的温度是(100)度,冰水混合物的温度是(0)度。 22、一杯热水的降温规律是(先快后慢),逐渐稳定。 23、热总是从(高温)处向(低温)处传递 24、热在固体中,从温度高的地方传到温度低的地方,这种传热的方式称为(传导)。液体或气体受热上升、遇冷下降,使冷热液体或气体互相混合,这种传热方式称为(对流)。不靠空气、水或其他物体也能传递热这种传热方式称为(辐射)。 25、在固体中热的主要传递方式是(传导),在气体和液体中热的主要传递方式是(对流)。 26、热在空气中的传递是通过(冷热)空气的对流来实现的。 27、热传递的方式有:(传导)、(对流)、(辐射)。 28、绝大多数物体在受热时,体积会膨胀;冷却时,体积会收缩。这种现象叫做(热胀冷缩)。温度计是利用(物体热胀冷缩)的原理做成的, 29、液态的水受热后变成气态的水蒸气,这种现象叫做(蒸发)。水蒸气遇冷后又从气态变成液态,这种现象叫做(凝结)。 30、加热和冷却可以改变物体的(形态)和(体积)。 31、物体吸热和散热的快慢取决于(物质)和(颜色)。同一种物质,表面颜色深的比表面颜色浅的吸热(快),散热(也快)。 32、云、雾、雨、露、霜、雪、冰是大自然中(水)的多姿多彩的化身,都是由空气中的(水蒸气)变成的。 33、白气是水蒸气凝结成的极细小的水珠,它在高空为(云),在低空为(雾)。 15、水的蒸发快慢与(蒸发面积)、(空气流动)和(周围温度)有关。 34、糖受热会熔化成(液体),冷却后又会凝聚成(固体)。 35、声音能在(固体),(液体),(气体)中传播,声音的传播离不开(介质)。 36、物体因振动而发出声音,当物体振动大时,我们听到的声音就(强)。当物体振动小时,声音就(弱)。声音的高低称为(音调)。声音的强弱称为(音量)。37、声音是由物体(振动)产生的。声音是以声波的形式,朝着(四面八方)传播。声音在(固体)中的传播速度最快,在(气体)中传播速度最慢 38、噪声是听起来不舒服的声音。噪声和废气、废水一样,已被列为主要的(环境)污染之一。 39、耳朵分为(外耳)、(中耳)、(内耳)三部分。 40、声音传递的路径为声源—(耳廓)—(外耳道)—(鼓膜)—(听小骨)—(耳蜗)——(听神经)——大脑 41、声音的强弱与(声源的振幅) 和(距离)有关。声源振幅越大,声音(越强);距离声源越近声音(越强)。 42、声音的高低与振动物体的(松紧)、(长短)、(粗细)有关。紧、短、细的物体发出的声音较高,即振动(快),声音(高)。 43、尺子振动的频率受尺子延伸到桌子外的那部分长度的影响。这部分的长度(越长)音高越(强)。 44、当物体快速振动时,我们听到的声音就变(高),当物体振动减慢时声音就变(低)。 45、购买加工食品时要注意看(保质期)和(生产日期)。 46、食物在人体内经由了哪些器官:口腔→(食道)→(胃)→(小肠)→(大肠)→肛门 47、食物含有的主要营养成分是(碳水化合物)、(蛋白质)、(脂肪)、(矿物质)、(维生素)和(水)。 48、人需要的营养成分主要来自(食物)。 49、(营养均衡)才能健康成长。 50、小胖墩身材的主要原因是(营养过剩);豆芽菜身材的主要原因是饮食缺陷造成的(营养不良)。 51、我们常吃的食物可以分为(加工食品)和(天然食品)两大类。 52、(加工)食品是指经过机器或化学药品处理过的食品,在处理过程中,往往会添加某些物质,食物也会损失一些营养成分。 53、(天然)食品是未加工或只经过初级加工、不含任何添加剂的食品,它保留了大多数的营养成分。 54、加工食品中诱人的颜色是(色素)。 55、无污染的,安全,优质,营养类的食品(绿色食品)。

【精品】部编版四年级语文上册课后习题参考答案

部编版四年级语文上册课后习题参考答案 第一课《观潮》 一、说说课文是按照什么顺序描写钱塘江大潮的,你的头脑中浮现出怎样的画面,选择印象最深和同学交流。 答:课文按潮前,潮时,潮过后的顺序观察描写钱塘江大潮。 “潮前”的景象:江面上很平静,观潮人的心情急切。闷雷滚动、一条白线“潮时”的景象:潮的声大,潮头有数丈之高,声如“山崩地裂”,形如“白色城墙”、“白色战马”,横贯江面。给人的印象就是如巨雷般的大潮像千军万马席地而卷,在呐喊、嘶鸣中奔。狂潮拍石,如同几里岸边同时金钟齐鸣。 “潮头过后”的景象:潮头汹涌,漫天卷地,余威犹在,恢复平静,水位上涨。 我印象最深的是“潮时”的景象:那条白线很快地向我们移,逐渐拉长,变粗,横贯江面。再近些,只见白浪翻滚,形成一堵两丈多高的水墙。浪潮越越近,犹如千万匹白色战马齐头并进,浩浩荡荡地飞奔而;那声音如同山崩地裂,好像大地都被震得颤动起。霎时,潮头奔腾西去,可是余波还在漫天卷地般涌,江面上依旧风号浪吼。 二、读下面这首诗,从课文中找出与诗的内容相关的句子。 浪淘沙唐·刘禹锡

八月涛声吼地,头高数丈触山回。 须臾却入海门去,卷起沙堆似雪堆。 与诗的内容相关的句子: 那条白线很快地向我们移,逐渐拉长,变粗,横贯江面。再近些,只见白浪翻滚,形成一堵两丈多高的水墙。 浪潮越越近,犹如千万匹白色战马齐头并进,浩浩荡荡地飞奔而;那声音如同山崩地裂,好像大地都被震得颤动起。 第二课《走月亮》 一、阿妈牵着我“我”走过“月光闪闪的溪岸”,“细细的溪水,流着山草和野花的香味,流着月光……”你的头脑中浮出了怎样的画面?课文中还有哪些画面给你留下了深刻的印象?和同学交流。 提示:山草、野花、月光倒映在溪水里,随着溪水流动着,就像是“流着山草、野花的香味,流着月光”。这里用了暗喻的手法,把阿妈比作美丽的月亮,牵着那些闪闪烁烁的小星星,也就是“我”在天上走着。这样写形象生动,写出阿妈对我的种种启示和引导,让读者更具体地了解我和阿妈走月亮的含义。 画面:迷人的秋夜,阿妈牵着“我”,在月光下,沿着乡间的小路,沿着小溪走着走着……人和美丽的月光、潺潺的流水、芳香的山草、野花,构成了一幅美妙的乡村夜景图。 给我留下了深刻印象的画面还有:“秋虫唱着,夜鸟拍打着翅膀,鱼儿跃出水面,泼剌声里银光一闪…….从果园那边飘了果子的甜香。是雪梨,还是火把梨?还是紫葡萄?都有,月光下,在坡头上那片果园里,这些好吃的果子挂满枝头。沟水汩汩,很满意的响着。是啊,旁边就是它浇灌过的田地。在这片地里我们种过油菜,种过蚕豆。我在豆田里找过兔草。我把蒲公英吹得飞啊,飞,飞得

第四章课后习题参考答案

第4章网络基础知识与Internet应用一、单项选择题 二、填空题 1.局域网、城域网、广域网或LAN、MAN、WAN 2. C、A、C 3. 127.0.0.1(本机)、255.255.255.255(限制广播)、0.0.0.0(广播) 4. Electronic Commerce, EC 5.B2B、B2C 6. Instrumented:物联化 Interconnected:互联化 Intelligent:智能化 7.感知层、网络层、应用层 8.接入(网络层)、应用(业务层) 9.硬件系统、软件系统 10.不可否任性

三、简答题 1. 计算机网络发展包括四个阶段:第一,面向终端的计算机网络;第二,计算机-计算机网络;第三,开放标准网络阶段;第四,因特网与高速计算机网络阶段。各阶段的特点:第一,面向终端的计算机网络:以单个计算机为中心的远程联机系统,构成面向终端的计算机网络。第二,计算机-计算机网络:由若干个计算机互联的系统,组成了“计算机-计算机”的通信时代,呈现出多处理中心的特点。第三,开放标准网络阶段:由于第二阶段出现的计算机网络都各自独立,不相互兼容。为了使不同体系结构的计算机网络都能互联,国际标准化组织ISO提出了一个能使各种计算机在世界范围内互联成网的标准框架―开放系统互连基本参考模型OSI。第四,因特网与高速计算机网络阶段:采用高速网络技术,综合业务数字网的实现,多媒体和智能型网络的兴起。 2.TCP/IP网络使用32位长度的地址以标识一台计算机和同它相连的网络,它的格式为:IP 地址=网络地址+ 主机地址。标准IP地址是通过它的格式分类的,它有四种格式:A类、B类、C类、D类。 3. 电子商务所涵盖的业务范围包括:信息传递与交流;售前及售后服务;网上交易;网上支付或电子支付;运输;组建虚拟企业。 4. 包括banner(网幅广告)、button广告、文字链接广告、弹出式广告(pop up window)及其它形式(如移动logo、网上分类广告等)。其中banner广告是主流形式,也被认为是最有效的。 5. 国际电信联盟( ITU)对物联网做了如下定义:通过二维码识读设备、射频识别(RFID) 装置、红外感应器、全球定位系统和激光扫描器等信息传感设备,按约定的协议,把任何物品与互联网相连接,进行信息交换和通信,以实现智能化识别、定位、跟踪、监控和管理的一种网络。

四年级科学复习题带答案

第一单元《骨骼与肌肉》 1、人体中共有(206)块骨头,它们共同组成了人体的支架——(骨骼)。 2、我们全身的骨头分成四部分:(头骨)、(躯干骨)、(手臂骨)、(腿脚骨)。 3、人的手骨共有(27)块。 4、骨(又硬又结实),它们可以(生长),不但能(长长),还能(长粗)。 5、骨头里有(骨髓)和(血管),骨髓能制造(血细胞)。 6、骨骼具有(支持运动)、(支撑身躯)、(保护内脏)的作用。 7、骨与骨之间能够活动的相连地方叫做(关节)。 8、人体主要关节有:(颌关节)、(肩关节)、(肘关节)、(腕关节)、(指关节)、 (髋关节)、(膝关节)、(踝关节) 9、关节常见的类型有:(铰链关节)、(旋转关节)、(球状关节)。 10、关节常见的运动方式有:(屈伸)、(旋转)、((既能屈伸又能旋转)。 11、关节的运动方式与相应的关节名称: (1)屈伸:肘关节、指关节、膝关节(2)旋转:桡尺关节(前臂) (3)既能屈伸又能旋转:肩关节、颌关节(下巴)、髋关节 12、人体关节有(支持运动)和(缓冲)的作用。 13、要使我们的身体动起来,仅靠(骨骼)是不够的,还必须有(肌肉)的参与。 14、人体的各种动作都是由肌肉的(收缩和舒张)带动(骨)、(关节)而实现的。 15、人体肌肉共有(600)多块。肌肉占到了人身体重量的(40%)。 16、附着在骨头上的肌肉总是(成对)在一起工作,一个(收缩),另一个就(放松)。 17、人体静止不动时,负责(心跳)、(呼吸)的一些肌肉仍在运动。 18、体育运动可以强健我们的(骨骼)和(肌肉)。 19、经常运动的好处有:(肌肉发达)、(体力充沛)、(改善柔韧性)、(更有耐力)… 20、各种体育运动对增强体力、柔韧性和耐力的效果不完全一样: 增强体力的运动项目:举重、游泳。 增强柔韧性的运动项目:体操、伸展肢体、游泳。 增强耐力的运动项目:羽毛球、自行车、慢跑、游泳。 21、为了使肌肉和骨骼保持正常工作,平常需要多吃些(蛋白质)和(钙)含量高的食品。 22、蛋白质含量高的食品有:(鱼、虾、肉、蛋类;豆、奶类)。钙含量高的食品有:(豆、奶及蔬菜)。 23、预防运动受伤的方法有:(做好准备活动)、(穿戴保护器具)、(遵守运动规则) ? 第二单元《养蚕》 1、蚕卵是(椭圆形)的,呈(淡灰色),中间有些凹下去。 2、刚孵出的小蚕叫(蚁蚕),颜色黑黑的,像蚂蚁,只有(两三毫米)长。 3、蚕喜欢吃的食物主要是干净的(桑叶)。蚕是靠(气门)来呼吸的。 4、蚕宝宝是从(头部)开始蜕皮的,蚕蜕皮的原因是(旧皮阻碍身体长大)。 5、蚕蜕皮时有约一天时间不吃也不动,这叫(休眠或蚕打眠)。 6、在蚕成长的过程中,要经过(4)次蜕皮。 7、蚕从吐丝到结茧完成大约需要(两)天时间。 8、蚕茧的形状是(椭圆形)的,大约(5)厘米长,(3)厘米宽,一只茧的重量约(—)克。 9、剪开茧子后的蛹还能(正常发育)。 10、蚕蛾的身体分为(头、胸、腹)三部分,胸部有(3)对足,头上的一对触角是(羽毛)形状的。所以说蚕是(昆虫)

第五章部分习题答案

第五章部分习题答案 1.试编写一个汇编语言程序,要求对键盘输入的小写字母用大写字母显示出来。 答:程序段如下: BEGIN: MOV AH, 1 ;从键盘输入一个字符的DOS调用 INT 21H CMP AL, …a? ;输入字符<…a?吗? JB STOP CMP AL, …z? ;输入字符>…z?吗? JA STOP SUB AL, 20H ;转换为大写字母,用AND AL, 1101 1111B也可 MOV DL, AL ;显示一个字符的DOS调用 MOV AH, 2 INT 21H JMP BEGIN STOP: RET 2.编写程序,从键盘接收一个小写字母,然后找出它的前导字符和后续字符,再按顺序显示这三个字符。 答:程序段如下: BEGIN: MOV AH, 1 ;从键盘输入一个字符的DOS调用 INT 21H CMP AL, …a? ;输入字符<…a?吗? JB STOP CMP AL, …z? ;输入字符>…z?吗? JA STOP DEC AL ;得到前导字符 MOV DL, AL ;准备显示三个字符 MOV CX, 3 DISPLAY: MOV AH, 2 ;显示一个字符的DOS调用 INT 21H INC DL LOOP DISPLAY STOP: RET 4.试编写一程序,要求比较两个字符串STRING1和STRING2所含字符是否完全相同,若相同则显示…MATCH?,若不相同则显示…NO MATCH?。 答:程序如下: DSEG SEGMENT STRING1 DB …I am a student.? STRING2 DB …I am a student!? YES DB …MATCH?,0DH, 0AH, …$? NO DB …NO MATCH?, 0DH, 0AH, …$? DSEG ENDS ;-------------------------------------------------------------------------- CSEG SEGMENT MAIN PROC FAR ASSUME CS: CSEG, DS: DSEG, ES: DSEG

人教版四年级数学上册练习题及答案

人教版四年级数学上册练习题及答案 一、直接写出下面各题得数. 8×8+52÷4 160+40÷ ×125 ×6×8÷26×8 二、把下面运算中不正确的地方改过来. 1.÷25×2.600× =800÷25× =600× ==24000 三、把下面各组式子列成综合算式. 1.3280÷16=202.23×16=368 205×10=2050625-368=257 6000-2050=3950 1028÷257=4 四、计算下面各题. 1.280+840÷24×5 2.85× 3.58870÷ 4.80400- 五、装订车间每人每小时装订课本640册,照这样计算,12人8小时装订课本多少册? 六、汽车队开展节约用油活动,12辆车一年共节约汽油7200千克,平均每辆车每个月节约汽油多少千克?

七、一部电话机售价320元,一台“彩电”的售价是电话机售价的8倍,一台电脑的售价比“彩电”售价的3倍还多1000元,一台电脑多少元? 八、两个车间生产零件,5天后甲车间生产1520个零件,乙车间生产1280个零件,若每天工作8小时,乙车间比甲车间每小时少生产多少个零件? 参考答案 三、1.6000-3280÷16×10 2.1028÷ 四、1.45.2975 3.40.76042 五、640×12×8=1440 六、7200÷12÷12=50 七、320×8×3+1000=8680 八、÷=6 综合能力训练 一、填空. 1.学校有足球24个,是篮球的3倍,学校有足球,篮球共个. 2.甲数是15,乙数比甲数的2倍多3,乙数比甲数多..甲、乙两数的平均数是14,乙、丙两数的平均数是18,甲、丙两数的平均数是16.甲、乙、丙三数的平均数是.

相关文档
最新文档