信号发生器报告

信号发生器报告
信号发生器报告

课程设计报告

实验名称:信号发生器

1、电路设计

说明:描述如何进行的电路设计,用到了什么元器件,参数是什么。截图仿真软件的电路图,一张图不够可多截几张。

2、电路功能测试

说明:通过仿真,观察电路中相关参对输出波形的影响。用Measure功能测量频率、电压;用Cursor功能测量频率、电压。

调整方波电压≤24V,三角波峰峰值=8V,正弦波电压>1V。

记录调节过程,和测试结果,粘贴测量结果图。要求每张图片要有图名、图号、横坐标和纵坐标。

图1 当电容选择C1=10μF时,由于频率太低无法得到波形,

所以电容选择C2=1μF。

图2 三角波峰峰值<8V

图3 改进后(减小RP1的值减小到10%)

减小RP1的值可以增大三角波峰峰值;增大RP2的值可以增大频率。

图4 正弦波失真

图5 改进后(增大RP3的值到90%) 增大RP3的值可以减小失真程度。

最终:将RP2调至30%处(1~10HZ):

图6 measure功能测量频率电压将RP2调至95%处(10~100HZ):

图7 measure功能测量功率电压

图8 cursor功能测量CH1频率电压

图9 cursor功能测量CH2频率电压

图10 cursor功能测量CH3频率电压

3、总结与讨论

总结电路设计与测试中的收获,也可以描述仿真过程中遇到的问题和解决方法。遇到的问题:1、三角波峰峰值<8V 解决方法:调节电位器RP1

2、正弦波失真解决方法:调节电位器RP3

收获:遇到问题时要有耐心,保持良好心态,善于多次尝试。先检查电路连接是否存在问题,和同伴相互配合共同调节相关元器件的参数,有不理解的问题及时向老师请教。

多功能信号发生器设计报告.doc

重庆大学城市科技学院电气学院EDA课程设计报告 题目:多功能信号发生器 专业:电子信息工程 班级:2006级03班 小组:第12组 学号及姓名:20060075蒋春 20060071冯志磊 20060070冯浩真 指导教师:戴琦琦 设计日期:2009-6-19

多功能信号发生器设计报告 一、设计题目 运用所掌握的VHDL语言,设计一个信号发生器,要求能输出正弦波、方波、三角波、锯齿波,并且能改变其输出频率以及波形幅度,能在示波器上有相应波形显示。 二、课题分析 (1).要能够实现四种波形的输出,就要有四个ROM(64*8bit)存放正弦波、方波、三角波、锯齿波的一个周期的波形数据,并且要有一个地址发生器来给ROM提供地址,ROM给出对应的幅度值。 (2).因为要设计的是个时序电路,所以要实现输出波形能够改变频率,就必须对输入的信号进行分频,以实现整体的频率的改变。 (3).设计要求实现调幅,必须对ROM输出的幅度信息进行处理。最简单易行的方法是对输出的8位的幅度进行左移(每移移位相当于对幅度值行除以二取整的计算),从而达到幅度可以调节的目的。同时为了方便观察,应再引出个未经调幅的信号作为对比。 三、设计的具体实现 1、系统概述 系统应该由五个部分组成:分频器(DVF)、地址发生器(CNT6B)、四个ROM 模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)、四输入多路选择器mux、幅度调节单元w。 2、单元电路设计与分析 外部时钟信号经过分频器分频后提供给地址发生器和ROM,四个ROM的输出接在多路选择器上,用于选择哪路信号作为输出信号,被选择的信号经过幅度调节单元的幅度调节后连接到外部的D/A转换器输出模拟信号。 (1)分频器(DVF) 分频器(DVF)的RTL截图

焊接操作规程

XXXXX 操作规程XXXX-XXXX-XXXX 焊接操作规程共 7 页第1 页 第A版第0次修改 1 目的 通过对焊接过程的控制,确保产品的焊接质量。 2 适用范围 本程序适用于公司电子仪器设备的焊接过程。 3 职责 3.1生产车间负责产品的焊接。 3.2质管部负责产品焊接效果的检验。 3.3人力资源部负责焊接作业人员的培训、考核。 4工作程序 4.1作业前 4.1.1为确保焊接质量,须对焊接作业人员的工序认知及操作水平进行考核,考核合格后方可上岗。 4.1.2根据焊件大小与性质选择合适的烙铁头。 焊件及工作性质选用烙铁 烙铁头温度(℃)(室温、220V电压) 一般印制电路板、安装导线20W内热式,30W外热式、恒温式 300~400 集成电路20W内热式、恒温式、储能式 焊片、电位器、2~8W电阻、大电解电容35~50W内热式、恒温式 50~75W外热式 350~450 8W以上大电阻,φ2以上到线等较大元器件100W内热式 150~200W外热式 400~550 维修、调试一般电子产品 20W内热式、恒温式、感应式、 储能式、两用式 4.1.3焊接作业前先清洗烙铁头,去除表面氧化层,然后将电烙铁插头插入电源插座上,检查烙铁是否发热。若在确保插头插好的情况下烙铁不发热,则应及时更换烙铁,切勿随意拆开烙铁,不能用手直接触碰烙铁头。 4.2焊接步骤 4.2.1加热焊件 电烙铁的焊接温度由实际使用情况决定。一般来说以焊接一个锡点的时间限制在3±1秒

XXXXX 焊接操作规程共 7 页第2 页 第A版第0次修改最为合适。焊接时烙铁头与印制电路板成45°角,电烙铁头顶住焊盘和元器件引脚然后给元器件引脚和焊盘均匀预热。 4.2.2移入焊锡丝 焊锡丝从元器件脚和烙铁接触面处引入,焊锡丝应靠在元器件脚与烙铁头之间。 4.2.3移开焊锡 当焊锡丝熔化(要掌握进锡速度)焊锡散满整个焊盘时,即可以45°角方向拿开焊锡丝。 4.2.4移开电烙铁 焊锡丝拿开后,烙铁继续放在焊盘上持续1~2秒,当焊锡只有轻微烟雾冒出时,即可拿开烙铁,拿开烙铁时,不要过于迅速或用力往上挑,以免溅落锡珠、锡点、或使焊锡点拉尖等,同时要保证被焊元器件在焊锡凝固之前不要移动或受到震动,否则极易造成焊点结构疏松、虚焊等现象。 加热焊件移入焊锡 移开焊锡移开电烙铁 4.3焊接要领 4.3.1烙铁头与被焊件的接触方式 4.3.1.1接触位置 烙铁头应同时接触要相互连接的2个被焊件(如焊脚与焊盘),烙铁一般倾斜45度,应避免只与其中一个被焊件接触。当两个被焊件热容量悬殊时,应适当调整烙铁倾斜角度,烙铁与焊接面的倾斜角越小,使热容量较大的被焊件与烙铁的接触面积增大,热传导能力加强。两个被焊件能在相同的时间里达到相同的温度,被视为加热理想状态。 4.3.1.2接触压力 烙铁头与被焊件接触时应略施压力,热传导强弱与施加压力大小成正比,但以对被焊件表面不造成损伤为原则。

高频实验函数信号发生器设计报告

目录设计 1 .设计指标 2. 设计目的 二. 总电路及原理 三. 各部分组成及原理 1. 原理框图 2. 方波发生电路 3. 三角波产生电路 4. 正弦波电路 四. 实物图 五?原件清单 六.心得体会

设计指标 1) 可产生方波、三角波、正弦波。并测试、调试、组装。 2) 方波幅值<=24V且频率可调在10hz-10khz三角波幅值可调为8V, 正弦波幅值可调为2V 3) 使用741芯片完成此电路 4) 电路焊接美观大方,走线布局合理 设计目的 1) .掌握电子系统的一般设计方法 2) .掌握模拟IC器件的应用 3) .培养综合应用所学知识来指导实践的能力 4) .掌握常用元器件的识别和测试 5) .熟悉常用仪表,了解电路调试的基本方法 二.总电路及原理 由RC构成振荡电路,反相滞回比较器产生矩形波,两者构成方波发生电路,方波经积分器产生三角波,三角波由滤波器产生正弦波,两级滤波产生更好的正弦波。

三?各部分组成及原理原理框图方波发生电路三角波正弦波1.方波发生电路

电路简介 方波发生电路主要由两部分构成 1?反相输入滞回比较器 2.RC振荡电路 若开始滞回比较器输出电压为U1,此时运放同相输入端电压为UP 二U1*R3(R3+R4同时U1通过R2对电容充电,当电容电压达到同相端的电压时输出电压变为-U1,同时同相端电压变为-UP, 由于电容电压大于输出端电压所以电容通过R1放电,当电容电压 等于-UP时输出电压又变为U1,同相端电压变为UP,此时输出电压通过R1对电容进行充电,整个过程不断重复形成自激振荡,由于电容充电时间与放电时间相同,故占空比为50%,形成方波。 利用一阶电路的三要素法列方程求得振荡周期为 T=2R1C5i n(1+2F/R4) 运放采用双电源+12V、-12V输出正弦波幅值为14V左右 注意事项 电路中的稳压管可以起到调节电压幅值并稳定电压的作用,经运放输出端接的R2可以起到稳定波形的作用,但不宜过大,此电路中应不超过500?。另外由于运放为741芯片,故波的频率不会很高,此电路应为一个低频电路。 调节R4R3的比值,C5,R1的阻值均可以调节电路的频率,但要调节幅值的同时不改变波的频率就只能通过稳压管调节,此为电路的缺陷之一

函数信号发生器课程设计报告书

信号发生器 一、设计目的 1.进一步掌握模拟电子技术的理论知识,培养工程设计能力 和综合分析问题、解决问题的能力。 2.基本掌握常用电子电路的一般设计方法,提高电子电路的 设计和实验能力。 3.学会运用Multisim10仿真软件对所作出的理论设计进行 仿真测试,并能进一步完善设计。 4.掌握常用元器件的识别和测试,熟悉常用仪表,了解电路 调试的基本方法。 二、设计容与要求 1.设计、组装、调试函数信号发生器 2.输出波形:正弦波、三角波、方波 3.频率围:10Hz-10KHz围可调 4.输出电压:方波V PP<20V, 三角波V PP=6V, 正弦波V PP>1V 三、设计方案仿真结果 1.正弦波—矩形波—三角波电路 原理图:

首先产生正弦波,再由过零比较器产生方波,最后由积分电路产生三角波。正弦波通过RC串并联振荡电路(文氏桥振荡电路)产生,利用集成运放工作在非线性区的特点,由最简单的过零比较器将正弦波转换为方波,然后将方波经过积分运算变换成三角波。 正弦—矩形波—三角波产生电路: 总电路中,R5用来使电路起振;R1和R7用来调节振荡的频率,R6、R9、R8分别用来调节正弦波、方波、三角波的幅值。左边第一个运放与RC串并联电路产生正弦波,中间部分为过零比较器,用来输出方波,最好一个运放与电容组成积分电路,用来输出三角波。

仿真波形: 调频和调幅原理 调频原理:根据RC 振荡电路的频率计算公式 RC f o π21 = 可知,只需改变R 或C 的值即可,本方案中采用两个可变电阻R1和R7同时调节来改变频率。 调幅原理:本方案选用了最简单有效的电阻分压的方式调幅,在输出端通过电阻接地,输出信号的幅值取决于电阻分得的电压多少。其最大幅值为电路的输出电压峰值,最小值为0。 RC 串并联网络的频率特性可以表示为 ) 1(311112 1 2 RC RC j RC j R C j R RC j R f Z Z Z U U F ωωωωω-+=++++=+= = ? ? ? 令,1 RC o =ω则上式可简化为) ( 31 ω ωωωO O j F -+ = ? ,以上频率特性可 分别用幅频特性和相频特性的表达式表示如下:

FSSS作业指导书

编写日期:2008-08-05 编写:马光伟 审核: 批准: 前言 FSSS系统一般分为两个部分,即燃烧器控制系统BCS(Burner ControlSystem)和燃料安全系统FSS(Fuel Safety System)。燃烧器控制系统的功能是对锅炉燃烧系统设备进行监视和控制,保证点火器,油枪和磨煤机组系统的安全启动、停止和运行。燃料安全系统的功能是在锅炉点火前和跳闸停炉后对炉膛进行吹扫,防止可燃物在炉膛堆积。在检测到危及设备、人身安全的运行工况时,启动主燃料跳闸(MFT),迅速切断燃料,紧急停炉。 FSSS系统对保证电厂锅炉系统的安全运行具有重要作用,为了规范FSSS系统现场调试及大修后检测FSSS系统的各项功能和试验,严格执行有关规程要求,保证校验人员在大量现场工作中可以安全、优质地完成任务,内蒙古电力科学研究院热控自动化研究所编写了FSSS系统现场作业指导书。 由于编写者水平有限,有不正确的地方望大家提出。 目录 1.适用范围-----------------------------------------------4 2.引用文件-----------------------------------------------4 3.现场作业前准备-----------------------------------------4 4.现场作业流程-------------------------------------------9 5.试验条件检查-------------------------------------------9 6.FSSS所涵盖的系统及设备--------------------------------10 7.FSSS系统试验内容--------------------------------------10 8.试验后应达到的指标------------------------------------23 9.结束工作----------------------------------------------24 关键词:作业指导书

函数信号发生器(毕业设计)

陕西国防学院电子工程系毕业论文 摘要 本系统以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术测量使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从0.001Hz~30KHz的低失真正弦波、三角波、矩形波等脉冲信号。输出波形的频率和占空比还可以由电流或电阻控制。另外由于该芯片具有调制信号输入端,所以可以用来对低频信号进行频率调制。 函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如ICL8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的。 关键词: ICL8038,波形,原理图,常用接法 1

陕西国防学院电子工程系毕业论文 目录 摘要 (1) 目录 (2) 第一章项目任务 (3) 1.1 项目建 (3) 1.2 项目可行性研究 (3) 第二章方案选择 (4) 2.1 [方案一] (4) 2.2 [方案二] (4) 第三章基本原理 (5) 3.1函数发生器的组成 (6) 3.2 方波发生器 (6) 3.3 三角波发生器 (7) 3.4 正弦波发生器 (9) 第四章稳压电源 (10) 4.1 直流稳压电源设计思路 (10) 4.2 直流稳压电源原理 (11) 4.3设计方法简介 (12) 第五章振荡电路 (15) 5.1 RC振荡器的设计 (15) 第六章功率放大器 (17) 6.1 OTL 功率放大器 (17) 第七章系统工作原理与分析 (19) 7.1 ICL8038芯片简介 (19) 7.2 ICL8038的应用 (19) 7.3 ICL8038原理简介 (19) 7.4 电路分析 (20) 7.5工作原理 (20) 7.6 正弦函数信号的失真度调节 (23) 7.7 ICL8038的典型应用 (24) 致谢 (25) 心得体会 (26) 参考文献 (27) 附录1 (28) 附录2 (29) 附录3 (30) 2

函数信号发生器设计报告

函数信号发生器设计报告 一、 设计要求 设计制作能产生正弦波、方波、三角波等多种波形信号输出的波形发生器,具体要求: (1) 输出波形工作频率范围为2HZ ~200KHZ ,且连续可调; (2) 输出频率分五档:低频档:2HZ ~20HZ ;中低频档:20HZ ~200HZ ; 中频档:200HZ ~2KHZ ;中高频档:2KHZ ~20KHZ ;高频档:20KHZ ~200KHZ 。 (3) 输出带LED 指示。 二、 设计的作用、目的 1. 掌握函数信号发生器工作原理。 2. 熟悉集成运放的使用。 3. 熟悉Multisim 软件。 三、 设计的具体实现 3.1函数发生器总方案 采用分立元件,设计出能够产生正弦波、方波、三角波信号的各个单元电路,利用Multisim 仿真软件模拟,调试各个参数,完成单元电路的调试后连接起来,在正弦波产生电路中加入开关控制,选择不同档位的元件,达到输出频率可调的目的。 总原理图:

3.2单元电路设计、仿真 Ⅰ、RC桥式正弦波振荡电路 图1:正弦波发生电路 正弦波振荡器是在只有直流供电、不加外加输入信号的条件下产生正弦波信号的电路。 正弦波产生电路的基本结构是:引入正反馈的反馈网络和放大电路。其中:接入正反馈是产生振荡的首要条件,它又被称为相位条件;产生振荡必须满足幅度条件;要保证输出波形为单一频率的正弦波,必须具有选频特性;同时它还应具有稳幅特性。因此,正弦波产生电路一般包括:放大电路、反馈网络、选频网络、稳幅电路四个部分。根据选频电路回路的不同,正弦波振荡器可分为RC正弦波振荡器、LC正弦波振荡器和石英晶体振荡器。其中,RC正弦波振荡器主要用于产生中低频正弦波,振荡频率一般小于1MHz,满足本次设计要求,故选用RC 正弦波振荡器。

SPM作业指导书

SPM智能化静止进相机作业指导书 XDL/JS/0D3-27 一、紧固件的检查 (1) 二、控制线与转子电缆的连接 (1) 三、通电试车 (1) 四、常见故障及处理 (1) 附表:电源板的电压输出参数 (2) 襄樊大力工业控制股份有限公司制 2003/08/23

一、紧固件的检查 由于长途运输,设备在调试前应检查并紧固所有紧固件。包括所有器件和端子排上的螺钉、螺帽。 二、控制线与转子电缆的连接 1.电源线从端子排X1上A.B.C.N接至配电柜,A.B.C接三相火线,N接零线。电源线型号 的选择参见随机《进相机使用说明书》。 2.控制线的连接要求参见随机《进相机电器图》。 3.转子电缆接在KM3下端,起动柜过来的电缆接在KM2的下端。 4.通电前认真核对接线有无漏接、错接、松动的现象。 三、通电试车 1.模拟试车 短接311和313,将检测转子电流信号的霍尔互感器(TA1,TA2,TA3)上的插件取下,接在信号发生器上,将“中控/现场”旋钮打至“现场”位置。合上空开,电源指示灯亮,待KA1吸合后,按下“进相”按钮,此时KM3吸合KM2释放,同时进相指示灯亮。进相机顶端的排风扇的风向应自下而上(若风向相反,对调任意两相电源进线即可)。 试验正常后,按下“退相”按钮,此时KM2吸合KM3释放,进相指示应灯熄。 断开空开,去掉311和313的短接线;恢复霍尔互感器上的信号线(注意相序)。 2.带载试车(负载需达到60%以上) 2.1. 通电前认真核对接线有无漏接、错接、松动的现象。主电机正常运行后,观 察面板上的功率因素表若在超前位置,则需停机将12、14号线对调。如果仍不正常,必须严格检查功率因素表的信号是否是A、C相的电压,B相电流信号。 2.2.合上空开,观察各控制板指示灯的状态。控制板第一指示灯常亮,第二、三、 四指示灯应交替闪亮;触发板第一指示灯先闪亮十秒钟左右,随即六个指示灯闪亮;电源板上的所有指示灯常亮。表明允许进相。 2.3.按下“进相”按钮,进相指示灯亮,电流下降,功率因素上升。 2.4.观察逆变变压器输入输出电流范围,如下表所示。 若复位后各指示灯状态仍不正常,参见故障处理第一条。 2.6.如果电流上升,需先退相,关掉电源。对调进相机背面端子排X3上的01和03 号线。 2.7.如果电流波动较大,说明有环流产生,处理方法见故障处理第二条。 四、常见故障及处理 1.进相机触发板六个指示灯具有故障指示功能。指示灯所指示故障如表:

信号发生器毕业设计

信号发生器的设计与制作 系别:机电系专业:应用电子技术届:07届姓名:张海峰 摘要 本系统以AD8951集成块为核心器件,AT89C51集成块为辅助控制器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术测量使用。AD9851是AD公司生产的最高时钟为125 MHz、采用先进的CMOS技术的直接频率合成器,主要由可编程DDS系统、高性能模数变换器(DAC)和高速比较器3部分构成,能实现全数字编程控制的频率合成。 关键词AD9851,AT89C51,波形,原理图,常用接法

ABSTRACT 5 The system AD8951 integrated block as the core device, AT89C51 Manifold for auxiliary control devices, production of a function signal generator to produce low cost. Suitable for students to learn the use of electronic technology measurement. AD9851 is a AD produced a maximum clock of 125 MHz, using advanced CMOS technology, the direct frequency synthesizer, mainly by the programmable DDS systems, high-performance module converter (DAC) and high-speed comparator three parts, to achieve full Digital program-controlled frequency synthesizer. Key words AD9851, AT89C51, waveforms, schematics, Common Connection

简易矩形波发生器报告

数字电路设计研讨 --简易矩形波信号发生器 姓名:尹晨洋 学号:13211023 班级:通信1301 同组成员:程永涛 学号:13211007 指导老师:任希

目录 一、综述************************************************************ 1 二、电路元件结构及工作原理***************************** 1 1)、555计数器******************************************************** 1 2)、74ls160同步计数器************************************************ 2 3)、74ls175 4位寄存器************************************************* 4三、频率可调的矩形波发生器***************************** 4 1)、频率可调的矩形波发生器电路图仿真电路图******************************* 4 2)、频率可调的矩形波发生器工作原理分析*********************************** 4 3)、仿真结果分析******************************************************** 5四、可显示频率计数器***************************************** 6 1)、可显示频率计数器仿真电路图******************************************** 6 2)、工作原理分析********************************************************* 6 3)、仿真结果分析********************************************************** 7 4)、实验误差************************************************************** 9 五、总结与体会************************************************** 9 六、参考文献*******************************************************

DDS信号发生器 实验报告

H a r b i n I n s t i t u t e o f T e c h n o l o g y EDA技术高级应用 实验报告 姓名:禾小鬼 同组人: 学号:16S 班级:信息2班 指导教师:xxx 院系:电信学院

实验一函数信号发生器 一、实验内容 实验内容包括下面两个方面 1.熟悉quartus ii开发环境 第一次接触quartus ii开发环境,首先可以通过新建一个工程熟悉quartus ii的各种基本操作。需要学习的包括以下几个方面:选器件,采用原理图方法画一个电路图实现某种功能,并对这个功能进行行为仿真以验证功能上的正确性。 2.设计一个函数信号发生器 在开始之前,首先要明确设计目的,我们的想要用电路图方法实现设计一个“函数信号发生器”。然后,可以先根据自己的思路想好一个电路图的设计方案,再开始实验。 二实验结果 1.第一步:建立一个新的工程 新建工程的过程中,最重要的是设置器件,不同的器件的设计之间并不兼容。会有一个综合的信息框,注明了我所做的设置,看看没问题就可以了。然后新建一个原理图文件schematic,作为顶层文件,将顶层文件命名为DDS在上面进行画图。 2.第二步:画电路图 本次实验采用软件自带的器件库MegaWizard Plug-in Manager中的器件。自定义3个ROM,并将ROM表中存储事先准备好的三种波形的数据文件,波形数据文件由matlab产生,ROM中存储8bit-32words的数据,包括一个时钟输入,一个5位地址输入和一个7位输出;还需要一个5位计数器,用以输出读取ROM 的地址;一个时钟控制整个电路工作; 我画的电路图,如图1所示。其原理为:三个ROM表存储三种波形数据,整个电路通过时钟控制,时钟每翻转一次,计数器加一,产生一个地址,输入到

简易信号发生器单片机课程设计报告

课程设计(论文)任务书 电气学院电力系统及其自动化专业12(1 )班 一、课程设计(论文)题目:简易信号发生器设计 二、课程设计(论文)工作自 2015年1 月12 日起至2015 年 1月16 日止。 三、课程设计(论文) 地点:电气学院机房 10-303 四、课程设计(论文)内容要求: 1.课程设计的目的 (1)综合运用单片机原理及应用相关课程的理论知识和实际应用知识,进行单片机应用系统电路及程序设计,从而使这些知识得到进一步的巩固,加深和发展;(2)熟悉和掌握单片机控制系统的设计方法,汇编语言程序设计及proteus 软件的使用; (3)通过查阅图书资料、以及书写课程设计报告可提高综合应用设计能力,培养独立分析问题和解决问题的能力。 2.课程设计的内容及任务 (1)可产生频率可调的正弦波(64个点)、方波、锯齿波或三角波。 (2)显示出仿真波形。 (3)通过按键选择输出波形的种类。 (4)在此基础上使输出波形的幅值可控。

3.课程设计说明书编写要求 (1)设计说明书用A4纸统一规格,论述清晰,字迹端正,应用资料应说明出处。(2)说明书内容应包括(装订次序):题目、目录、正文、设计总结、参考文献等。应阐述整个设计内容,要重点突出,图文并茂,文字通畅。 (3)报告内容应包括方案分析;方案对比;整体设计论述;硬件设计(电路接线,元器件说明,硬件资源分配);软件设计(软件流程,编程思想,程序注释,) 调试结果;收获与体会;附录(设计代码放在附录部分,必须加上合理的注释)(4) 学生签名: 2015年1月16 日 课程设计(论文)评审意见 (1)总体方案的选择是否正确;正确()、较正确()、基本正确()(2)程序仿真能满足基本要求;满足()、较满足()、基本满足()(3)设计功能是否完善;完善()、较完善()、基本完善()(4)元器件选择是否合理;合理()、较合理()、基本合理()(5)动手实践能力;强()、较强()、一般()(6)学习态度;好()、良好()、一般()(7)基础知识掌握程度;好()、良好()、一般()(8)回答问题是否正确;正确()、较正确()、基本正确()、不正确() (9)程序代码是否具有创新性;全部()、部分()、无() (10)书写整洁、条理清楚、格式规范;规范()、较规范()、一般()总评成绩优()、良()、中()、及格()、不及格() 评阅人:

基于MATLAB的数字信号发生器报告

基于MATLAB的数字信号发生器设计报告 摘要:数字信号发生器是基于软硬件实现的一种波形发生仪器。在工工程实践中需要检测和分析的各种复杂信号均可分解成各简单信号之和,而这些简单信号皆可由数字信号发生器模拟产生,因此它在工程分析和实验教学有着广泛的应用。MATLAB是一个数据分析和处理功能十分强大的工程实用软件,他的数据采集工具箱为实现数据的输入和输出提供了十分方便的函数和命令,在数字信号处理方面方便实用。本文介绍了使用MATLAB建立一个简单数字信号发生器的基本流程,并详细叙述了简单波形(正弦波、方波、三角波、锯齿波、白噪声)信号的具体实现方法。 关键字:MATLAB ,数字信号发生器 1概述 随着计算机软硬件技术的发展,越来越多现实物品的功能能够由计算机实现。信号发生器原本是模拟电子技术发展的产物,到后来的数字信号发生器也是通过硬件实现的,本文将给出通过计算机软件实现的数字信号发生器。 信号发生器是一种常用的信号源,广泛应用于电子技术实验、自控系统和科学研究等领域。传统的台式仪器如任意函数发生器等加工工艺复杂、价格高、仪器面板单调、数据存储、处理不方便。以Matlab

和LabVlEW 为代表的软件的出现,轻松地用虚拟仪器技术解决了这些问题。 Matlab 是一个数据分析和处理功能十分强大的工程实用软件,他的数据采集工具箱(data acquisition toolbox )为实现数据的输入和输出提供了十分方便的函数和命令,利用这些函数和命令可以很容易地实现对外部物理世界的信号输出和输入。根据声卡输出信号的原理,采用Matlab 软件编程,可以方便地输出所需要的正弦波、三角波、方波等多种信号,有效地实现信号发生器的基本功能。 2 设计原理 要设计的数字信号有正弦信号、方波信号、三角波、锯齿波、白噪声、脉冲信号。其中,前五种波形都可以利用MATLAB 提供的函数实现,并根据输入的幅值、相位、频率等信息进行调整。脉冲信号由自己编写程序实现,并以定义的时间节点控制脉冲出现的时刻。 2.1 正弦信号的实现 正弦波信号的数学表达式如2.1, ()sin 2y A ft πφ=+ 2.1 其中:A 为幅值; f 为频率; φ为相位。 在MATLAB 中,相应的数字信号可以由下式2.2计算,

信号发生器课程设计报告

目录 一、课题名称 (2) 二、内容摘要 (2) 三、设计目的 (2) 四、设计内容及要求 (2) 五、系统方案设计 (3) 六、电路设计及原理分析 (4) 七、电路仿真结果 (7) 八、硬件设计及焊接测试 (8) 九、故障的原因分析及解决方案 (11) 十、课程设计总结及心得体会 (12)

一、课题名称:函数信号发生器的设计 二、内容摘要: 函数信号发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而此次课程设计旨在运用模拟电子技术知识来制作一个能同时输出正弦波、方波、三角波的信号发生器。 三、设计目的: 1、进一步掌握模拟电子技术知识的理论知识,培养工程设计能力和综合分析能力、解决问题的能力。 2、基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力。 3、学会运用Multisim仿真软件对所做出来的理论设计进行仿真测试,并能进一步解决出现的基本问题,不断完善设计。 4、掌握常用元器件的识别和测试,熟悉万用表等常用仪表,了解电路调试的基本方法,提高实际电路的分析操作能力。 5、在仿真结果的基础上,实现实际电路。 四、设计内容及要求: 1、要求完成原理设计并通过Multisim软件仿真部分 (1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围

任意信号发生器毕业设计开题报告书

苏州科技学院 毕业设计开题报告 设计题目任意信号发生器的硬件设计(基于89C51实现)院系电子与信息工程学院 专业电子信息工程 班级电子0911 学生姓名XXXXXXX 学号 设计地点 指导教师 2013 年3月31 日

设计题目:任意信号发生器的硬件设计(基于89C51实现)课题目的、意义及相关研究动态: 一、课题目的: 信号发生器是一种能产生模拟电压波形的设备,这些波形能够校验电子电路的设计。信号发生器广泛用于电子电路、自动控制系统和教学实验等领域,它是一种可以产生正弦波,方波,三角波等函数波形的一起,其频率范围约为几毫赫到几十兆赫,在工业生产和科研中利用信号发生器输出的信号,可以对元器件的性能鉴定,在多数电路传递网络中,电容与电感组合电路,电容与电阻组合电路及信号调制器的频率,相位的检测中都可以得到广泛的应用。因此,研究信号发生器也是一个很重要的发展方向。 常用的信号发生器绝大部分是由模拟电路构成的,但这种模拟信号发生器用于低频信号输出往往需要的RC值很大,这样不但参数准确度难以保证,而且体积和功耗都很大,而本课题设计的函数信号发生器,由单片机构成具有结构简单,价格便宜等特点将成为数字量信号发生器的发展趋势。 本课题采用的是以89c51为核心,结合 DAC0832实现程控一般波形的低频信号输出,他的一些主要技术特性基本瞒住一般使用的需要,并且它具有功能丰富,性能稳定,价格便宜,操作方便等特点,具有一定的推广作用。 二、课题意义: (1)任意信号发生器主要在实验中用于信号源,是电子电路等各种实验必不可少的实验设备之一,掌握任意信号发生器的工作原理至关重要。 (2)任意信号发生器能产生某些特定的周期性时间任意波形(正波、方波、三角波)信号,频率范围可从几个微赫到几十兆赫任意信号发生器在电路实验和设备检测中具有十分广泛的用途。 (3)本课题主要研究开发一个基于51单片机的实验用任意信号发生器,不但成本较低而精度较高,最重要的是开发简单易于调试,具有一定社会价值和经济价值。 (4)任意信号发生器作为一种常见的电子仪器设备,既能够构成独立的信号源,也可以是高新能的网络分析仪,频谱仪以及自动测试装备的组成部分,任意信号发生器的关键技术是多种高性能仪器的支撑技术,因为它是能够提高质量的精密信号源及扫描源,可使相应系统的检测过程大大简化,降低检测费用并且提高检测精度。

信号发生器实验报告(DOC)

信号发生器 F组 组长:*** 组员:***、*** 2013年8月12日星期一

1系统方案 (4) 1.1系统方案论证与选择 (4) 1.2方案描述 (4) 2理论分析与计算 (5) 3电路与程序设计 (6) 3.1电路的设计 (6) 3.1.1 ICL8038模块电路 (6) 3.1.2 放大电路 (6) 3.2程序的设计 (7) 4测试方案与测试结果 (9) 4.1测试仪器与结果 (9) 4.2调试出现的问题及解决方案 (9) 5 小结 (10)

本系统设计的是信号发生器,是以 ICL8038和 STC89C51为核心设计的数控及扫频函数信号发生器。ICL8038作为函数信号源结合外围电路产生占空比和频率可调的正弦波、方波、三角波;该函数信号发生器的频率可调范围1~100kHz,波形稳定,无明显失真。单片机控制LCD12864液晶显示频率、频段和波形名称。 关键字:信号发生器ICL8038、 STC89C51、波形、LCD12864

信号发生器实验报告 1系统方案 1.1系统方案论证与选择 方案一:由单片机内部产生波形,经DAC0832输出,然后再经过uA741放大信号后,最后经过CD4046和CD4518组成的锁相环放大频率输出波形,可是输出的波形频率太低,达不到设计要求。 方案二:采用单片机对信号发生器MAX038芯片进行程序控制的函数发生器,该发生器有正弦波、三角波和方波信号三种波形,输出信号频率在0.1Hz~100MHz 范围内。MAX038为核心构成硬件电路能自动地反馈控制输出频率,通过按键选择波形,调节频率,可是MAX038芯片价格太高,过于昂贵。 方案三:利用芯片ICL8038产生正弦波、方波和三角波三种波形,根据电阻和电容的不同可以调节波形的频率和占空比,产生的波形频率足够大,能达到设计要求,而且ICL8038价格比较便宜,设计起来成本较低。 综上所述,所以选择第三个方案来设计信号发生器。 1.2方案描述 本次设计方案是由ICL8038 芯片和外围电路产生三种波形,由公式: ,改变电阻和电容的大小可以改变波形的频率,有开关控制频段和波形并给单片机一个信号,由单片机识别并在LCD液晶屏上显示,电路的系统法案框图为下图1所示: 图1 总系统框图

信号发生器调研报告

毕业设计(论文)调研报告 学生姓名汤代月专业班级通信工程2012级1班 所在院系_________________ 电气工程系______________________ 指导教师___________ 职称_______________________ 讲师__________ 所在单位__________________ 电子电路教研室_____________________ 完成日期2015 年3月13日

调研报告 信号发生器是现代电子技术发展的重要成果,又称信号源或振荡器,各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器在电路实验和设备检测中具有十分广泛的用途,也是应用最广泛的电子仪器之一。信号发生器是能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。 信号发生器在测试、研究或调整电子电路及设备时,为测定电路的一些电参量,如测量频率响应、噪声系数,为电压表定度等,都要求提供符合所定技术条件的电信号,以模拟在实际工作中使用的待测设备的激励信号。当要求进行系统的稳态特性测量时,需使用振幅、频率已知的正弦信号源。当测试系统的瞬态特性时,又需使用前沿时间、脉冲宽度和重复周期已知的矩形脉冲源。并且要求信号源输出信号的参数,如频率、波形、输出电压或功率等,能在一定范围内进行精确调整,有很好的稳定性。有输出指示信号源可以根据输出波形的不同,划分为正弦波信号发生器、矩形脉冲信号发生器、函数信号发生器和随机信号发生器等四大类。 一?课题的来源及意义 近年来由于电子器件的发展以及数字化微处理器技术的发展,信号发生器有了迅 速的发展,出现了合成信号发生器、程控信号发生器等新种类。各类信号发生器的性能指标也都有了大幅度提高,据调查得知,在低价格、高时钟频率、高性能的新一代DDS'可世后,以后信号发生器的发展不可估量!信号发生器应用己经遍及国民经济的各个领域,深入了人们的日常生活。增加课题应用技术的论述,所以我选择利用FPG/实现信号发生器的设计 我作为新时代大学生中的一员,在学习了通信工程专业知识后,又加入了WNC 企业中实习。实物接触应用机会多了,对信号发生器了解日渐加深,我想把理论知识

基于51单片机的信号发生器设计报告

基于51单片机的信号发生器设计报告 二零一四年十二月十一日

摘要 根据题目要求以及结合实际情况,本文采用一种以AT89C51单片机为核心所构成的波形发生器,可产生方波、三角波、正弦波、锯齿波等多种波形,波形的频率可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。本设计经过测试,性能和各项指标基本满足题目要求。 关键词:信号发生器 DAC0832芯片 LM358运放 89C51芯片

目录 摘要...................................................................... 目录...................................................................... 第一章绪论................................................................. 1.1单片机概述........................................................... 1.2信号发生器的概述和分类.............................................. 1.3问题重述及要求....................................................... 第二章方案的设计与选择................................................... 2.1方案的比较........................................................... 2.2设计原理 ............................................................. 2.3设计思想 ............................................................. 2.4实际功能 ............................................................. 第三章硬件设计............................................................ 3.1硬件原理框图......................................................... 3.2主控电路 ............................................................. 3.3数、模转换电路....................................................... 3.4按键接口电路......................................................... 3.5时钟电路 ............................................................. 3.6显示电路 ............................................................. 第四章软件设计............................................................ 4.1程序流程图........................................................... 参考文献.................................................................... 附录1 电路原理图 .......................................................... 附录2 源程序............................................................... 附录3 器件清单......................................................

相关文档
最新文档