北邮数字电路与逻辑设计实验-实验报告(上)

北邮数字电路与逻辑设计实验-实验报告(上)
北邮数字电路与逻辑设计实验-实验报告(上)

北京邮电大学电路实验中心<数字电路与逻辑设计实验(上)>

班级: xxx

学院: xxx

实验室: xxx 审阅教师:姓名(班内序号): xxx)学号: 2xxx

实验时间: xxxx

评定成绩:

目录

实验1 Quartus II 原理图输入法设计与实现 (3)

一、实验目的 (3)

二、实验所用器材 (3)

三、实验任务要求 (3)

四、实验原理图 (3)

五、实验仿真波形图及分析 (4)

实验2 用VHDL 设计与实现组合逻辑电路 (5)

一、实验目的 (5)

二、实验所用器材 (5)

三、实验任务要求 (5)

四、VHDL代码 (5)

五、实验仿真波形图及分析 (7)

实验3 用VHDL 设计与实现时序逻辑电路 (8)

一、实验目的 (8)

二、实验所用器材 (8)

三、实验任务要求 (8)

四、模块端口说明及连接图 (8)

五、VHDL代码 (9)

六、实验仿真波形图及分析 (10)

实验4 用VHDL 设计与实现数码管动态扫描控制器 (10)

一、实验目的 (10)

二、实验所用器材 (11)

三、实验任务要求 (11)

四、模块端口说明及连接图 (11)

五、VHDL代码 (11)

六、实验仿真波形图及分析 (15)

故障及问题分析 (16)

总结和结论 (17)

实验1 Quartus II 原理图输入法设计与实现

一、实验目的

(1)熟悉用Quartus II原理图输入法进行电路设计和仿真;

(2)掌握Quartus II 图形模块单元的生成与调用;

(3)熟悉实验板的使用。

二、实验所用器材

(1)计算机;

(2)直流稳压电源;

(3)数字系统与逻辑设计实验开发板。

三、实验任务要求

(1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。

(2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。

(3)用3线-8线译码器(74LS138)和逻辑门设计实现函数+CBA,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。

四、实验原理图

(1)半加器原理图

(2)全加器原理图

(3)函数F原理图

五、实验仿真波形图及分析

(1)半加器仿真波形图

仿真波形图分析:根据仿真波形,可以确定电路实现了半加器的功能,其逻辑表达式为S=A⊕B,CO=AB。但我们也可以发现输出S和CO出现了静态功能冒险,要消除该冒险可以加入相应的选通脉冲。

(2)全加器仿真波形图

仿真波形图分析:根据仿真波形,可以确定电路实现了全加器的功能,其逻辑表达式为Si=Ai⊕Bi ⊕Ci-1,Ci=AiBi+ (Ai⊕Bi)Ci-1。

(3)函数F仿真波形图

仿真波形图分析:+CBA,当且仅当CBA输入为000、010、100、111时,F=1,可知电路实现了函数+CBA。

实验2 用VHDL 设计与实现组合逻辑电路

一、实验目的

(1)熟悉用VHDL语言设计组合逻辑电路和时序电路的方法;

(2)熟悉用Quartus II文本输入法进行电路设计;

(3)熟悉不同的编码及其之间的转换。

二、实验所用器材

(1)计算机;

(2)直流稳压电源;

(3)数字系统与逻辑设计实验开发板。

三、实验任务要求

(1)用VHDL语言设计实现一个共阴极7段数码管译码器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,7段数码管显示输出信号。

(2)用VHDL语言设计实现一个8421码转换为格雷码的代码转换器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。

(3)用VHDL语言设计实现一个4人表决器,多数人赞成决议则通过,否则决议不通过,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。

四、VHDL代码

(1)7段数码管译码器

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY smgymq IS

PORT(

A:IN STD_LOGIC_VECTOR(3 DOWNTO 0); B:OUT STD_LOGIC_VECTOR(0 TO 6);

C:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END smgymq;

ARCHITECTURE behavioral OF smgymq IS BEGIN

PROCESS(A) BEGIN C<="11111100";

CASE A IS

WHEN"0000"=> B<="1111110";--0

WHEN"0001"=> B<="0110000";--1

WHEN"0010"=> B<="1101101";--2

WHEN"0011"=> B<="1111001";--3

WHEN"0100"=> B<="0110011";--4

WHEN"0101"=> B<="1011011";--5

WHEN"0110"=> B<="1011111";--6

WHEN"0111"=> B<="1110000";--7

WHEN"1000"=> B<="1111111";--8

WHEN"1001"=> B<="1111011";--9

WHEN OTHERS=> B<="0000000"; END CASE;

END PROCESS;

END behavioral;

(2)8421码转换为格雷码的代码转换器

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY trans IS

PORT(

A:IN STD_LOGIC_VECTOR(3 downto 0); B:OUT STD_LOGIC_VECTOR(3 downto 0) );

END trans;

ARCHITECTURE tran OF trans IS BEGIN

PROCESS(A)

BEGIN

CASE A IS

WHEN"0000" => B <="0000";

WHEN"0001" => B <="0001";

WHEN"0010" => B <="0011";

WHEN"0011" => B <="0010";

WHEN"0100" => B <="0110";

WHEN"0101" => B <="0111";

WHEN"0110" => B <="0101";

WHEN"0111" => B <="0100";

WHEN"1000" => B <="1100";

WHEN"1001" => B <="1101";

WHEN"1010" => B <="1111";

WHEN"1011" => B <="1110";

WHEN"1100" => B <="1010";

WHEN"1101" => B <="1011";

WHEN"1110" => B <="1001";

WHEN"1111" => B <="1000";

END CASE;

END PROCESS;

END;

(3)4人表决器

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY vote IS

PORT(

A:IN STD_LOGIC_VECTOR(3 downto 0); B:OUT STD_LOGIC_VECTOR(0 downto 0) );

END vote;

ARCHITECTURE vote1 OF vote IS BEGIN

PROCESS(A)

BEGIN

CASE A IS

WHEN"1111" => B <="1"; WHEN"1110" => B <="1"; WHEN"1101" => B <="1"; WHEN"1011" => B <="1";

WHEN"0111" => B <="1"; WHEN OTHERS => B <="0"; END CASE; END PROCESS; END;

五、实验仿真波形图及分析

(1)7段数码管译码器

仿真波形图分析:根据仿真波形,可以确定电路实现了7段数码管译码器的功能,A 端输入8421码,B[0]-B[6]对应数码管的a-g 端,C 端输出为“11111100”,对应点亮DISP1、DISP0。但我们也可以发现输出B 出现了静态功能冒险,要消除该冒险可以加入相应的选通脉冲。

(2)8421码转换为格雷码的代码转换器

仿真波形图分析:根据仿真波形,可以确定电路实现了8421码转换为格雷码的代码转换器。A 端输入8421码,B 端输出格雷码。但我们也可以发现输出B 出现了静态功能冒险,要消除该冒险可以加入相应的选通脉冲。

(3)4人表决器

仿真波形图分析:根据仿真波形,可以确定电路实现了4人表决器的功能。当A[3]-A[0]中有多于2个的高电平时,B端输出为高电平。但我们也可以发现输出B出现了静态功能冒险,要消除该冒险可以加入相应的选通脉冲。

实验3 用VHDL 设计与实现时序逻辑电路

一、实验目的

(1)熟悉用VHDL语言设计时序逻辑电路的方法;

(2)熟悉用Quartus II文本输入法进行电路设计;

(3)熟悉计数器、分频器的设计与应用。

二、实验所用器材

(1)计算机;

(2)直流稳压电源;

(3)数字系统与逻辑设计实验开发板。

三、实验任务要求

(1)用VHDL语言设计实现一个分频系数为12,分频输出信号占空比为50%的分频器。要求在Quartus II平台上设计程序并仿真验证设计。

(2)用VHDL语言设计实现一个带异步复位的十进制计数器,仿真验证其功能,并下载到实验板测试。要求用时钟作为输入信号,7段数码管显示输出信号。

四、模块端口说明及连接图

(2)十进制计数器

本实验使用单一文件编写,未区分底层与顶层,未使用元件例化语句,没有连接图。

端口说明:

cp:时钟输入端

clear:异步复位端

A:数码管选通端

B:数码管显示信号五、VHDL代码

(1)分频器

library ieee;

use ieee.std_logic_1164.all;

entity fenpinqi12 is

port( clkin:in std_logic; --时钟信号输入clkout:out std_logic); --时钟信号输出end fenpinqi12;

architecture aroneMHZ of fenpinqi12 is signal data:integer range 0 to 10;

signal Q:std_logic;

begin

process(clkin)

begin

if rising_edge(clkin) then

if(data=5) then

data<=0;

Q<=not Q;

else

data<=data+1;

end if;

end if;

clkout<=Q;

end process;

end aroneMHZ;

(2)十进制计数器

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY BCDcoder IS

PORT(

cp,clear:IN STD_LOGIC;

A:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);

B:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));

END BCDcoder;

ARCHITECTURE a OF BCDcoder IS

SIGNAL temp:STD_LOGIC_VECTOR(3 DOWNTO 0);

SIGNAL tmp: INTEGER RANGE 0 TO 49999999;

SIGNAL clk_out: STD_LOGIC;

BEGIN

A<="10111111";

P1:PROCESS(cp)

BEGIN

IF cp'event AND cp='1' THEN

IF tmp=49999999

THEN

tmp<=0;

ELSE

tmp<=tmp+1;

END IF;

IF tmp<=24999999

THEN

clk_out<='0';

ELSE

clk_out<='1';

END IF;

END IF;

END PROCESS P1;

P2:PROCESS(clear,clk_out)

BEGIN

IF clear='1' THEN temp<="0000";

ELSIF (clk_out'event and clk_out='1') THEN

IF temp="1001"THEN

temp<="0000";

ELSE

temp<=temp+1;

END IF;

END IF;

END PROCESS P2;

P3:PROCESS(temp) BEGIN CASE temp IS

WHEN"0000"=> B<="1111110";--0 WHEN"0001"=> B<="0110000";--1 WHEN"0010"=> B<="1101101";--2 WHEN"0011"=> B<="1111001";--3 WHEN"0100"=> B<="0110011";--4 WHEN"0101"=> B<="1011011";--5 WHEN"0110"=> B<="1011111";--6 WHEN"0111"=> B<="1110000";--7 WHEN"1000"=> B<="1111111";--8 WHEN"1001"=> B<="1111011";--9 WHEN OTHERS=> B<="0000000"; END CASE;

END PROCESS P3; END a;

六、实验仿真波形图及分析

(1)分频器

仿真波形图分析:根据仿真波形,可以确定电路实现了分频器的功能。分频系数为12,占空比为50%。

(2)十进制计数器

仿真波形图分析:为了仿真效率,将分频器的分频系数降低为2。根据仿真波形,可以确定电路实现了十进制计数器的功能,cp 端输入时钟信号,B[0]-B[6]对应数码管的a-g 端,A 端输出为“10111111”,对应点亮DISP6。但我们也可以发现输出B 出现了静态功能冒险,要消除该冒险可以加入相应的选通脉冲。

实验4 用 VHDL 设计与实现数码管动态扫描控制器

一、实验目的

(1)熟悉用VHDL 语言设计时序逻辑电路的方法; (2)熟悉用Quartus II 文本输入法进行电路设计; (3)熟悉计数器、分频器的设计与应用。

二、实验所用器材

(1)计算机;

(2)直流稳压电源;

(3)数字系统与逻辑设计实验开发板。

三、实验任务要求

(1)用VHDL语言设计并实现八个数码管串行扫描电路,要求同时显示0、1、2、3、4、5、6、7这八个不同的数字图形到八个数码管上,仿真验证其功能,并下载到实验板测试。

(2)用VHDL语言设计并实现八个数码管滚动显示电路。

①循环左滚动,始终点亮八个数码管,左出右进。状态为:01234567→12345670→23456701→34567012→45670123→56701234→67012345→70123456→01234567

②向左滚动,用全灭的数码管充右边,直至全部变灭,然后再依次从右边一个一个地点亮。状态为:01234567→1234567X→234567XX→34567XXX→4567XXXX→567XXXXX→67XXXXXX→7XXXXXXX→XXXXXXXX→XXXXXXX0→XXXXXX01→XXXXX012→XXXX0123→XXX01234→XX012345→X0123456→01234567,其中‘X’表示数码管不显示。

四、模块端口说明及连接图

本实验使用单一文件编写,未区分底层与顶层,未使用元件例化语句,没有连接图。

(1)数码管串行扫描电路

端口说明:

cp:时钟输入端

cat:数码管选通信号

B:数码管显示信号

(2)数码管滚动显示电路

①循环左滚动

端口说明:

cp:时钟输入端

cat:数码管选通信号

B:数码管显示信号

②向左滚动

端口说明:

cp:时钟输入端

cat:数码管选通信号

B:数码管显示信号

五、VHDL代码

(1)数码管串行扫描电路

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY show0_7 IS

PORT(

cp:IN STD_LOGIC;

cat:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);

B:OUT STD_LOGIC_VECTOR(0 to 6));

END show0_7;

ARCHITECTURE a OF show0_7 IS

signal clk:STD_LOGIC;

signal temp:STD_LOGIC_VECTOR(3 DOWNTO 0);

SIGNAL tmp: INTEGER RANGE 0 TO 49999;

begin

P1:PROCESS(cp)

BEGIN

IF cp'event AND cp='1' THEN

IF tmp=49999

THEN

tmp<=0;

ELSE

tmp<=tmp+1;

END IF;

IF tmp<=24999

THEN

clk<='0';

ELSE

clk<='1';

END IF;

END IF;

END PROCESS P1;

P2:process(clk)

begin

IF (clk'event and clk='1') THEN

IF temp="0111"THEN

temp<="0000";

ELSE

temp<=temp+1;

END IF;

END IF;

END PROCESS P2;

P3:process (temp)

begin

CASE temp IS

WHEN"0000"=> B<="1111110";--0

WHEN"0001"=> B<="0110000";--1

WHEN"0010"=> B<="1101101";--2

WHEN"0011"=> B<="1111001";--3

WHEN"0100"=> B<="0110011";--4

WHEN"0101"=> B<="1011011";--5

WHEN"0110"=> B<="1011111";--6

WHEN"0111"=> B<="1110000";--7

WHEN"1000"=> B<="1111111";--8

WHEN"1001"=> B<="1111011";--9

WHEN OTHERS=> B<="0000000"; END CASE;

END PROCESS P3;

P4:process(temp)

begin

CASE temp IS

WHEN"0000"=> cat<="11111110";--0

WHEN"0001"=> cat<="11111101";--1

WHEN"0010"=> cat<="11111011";--2

WHEN"0011"=> cat<="11110111";--3

WHEN"0100"=> cat<="11101111";--4

WHEN"0101"=> cat<="11011111";--5

WHEN"0110"=> cat<="10111111";--6

WHEN"0111"=> cat<="01111111";--7

WHEN OTHERS=>cat<="11111111"; END CASE;

END PROCESS P4;

END a;

(2)数码管滚动显示电路①循环左滚动

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY cycle0_7 IS PORT(

cp:IN STD_LOGIC;

cat:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);

B:OUT STD_LOGIC_VECTOR(0 to 6));

END cycle0_7;

ARCHITECTURE a OF cycle0_7 IS

signal clk:STD_LOGIC;

signal temp:INTEGER RANGE 0 TO 7;

SIGNAL tmp: INTEGER RANGE 0 TO 49999;

SIGNAL tmp2: INTEGER RANGE 0 TO 999;

SIGNAL round: INTEGER RANGE 0 TO 7;

begin

P1:PROCESS(cp)

BEGIN

IF cp'event AND cp='1' THEN

IF tmp=49999

THEN

tmp<=0;

ELSE

tmp<=tmp+1;

END IF;

IF tmp<=24999

THEN

clk<='0';

ELSE

clk<='1';

END IF;

END IF;

END PROCESS P1;

P5:PROCESS(clk)

BEGIN

IF clk'event AND clk='1' THEN

IF tmp2=999

THEN

tmp2<=0;

IF round=7

THEN

round<=0;

ELSE

round<=round+1;

END IF;

ELSE

tmp2<=tmp2+1;

END IF;

END IF;

END PROCESS P5;

P2:process(clk)

begin

IF (clk'event and clk='1') THEN

IF temp=7 THEN

temp<=0;

ELSE

temp<=temp+1;

END IF;

END IF;

END PROCESS P2;

P3:process (temp)

variable roundnum:INTEGER RANGE 0 TO 14;

begin

roundnum :=temp+round;

if roundnum>7 then

roundnum:=roundnum-8;

end if;

CASE roundnum IS

WHEN 0 => B<="1111110";--0

WHEN 1 => B<="0110000";--1

WHEN 2 => B<="1101101";--2

WHEN 3 => B<="1111001";--3

WHEN 4 => B<="0110011";--4

WHEN 5 => B<="1011011";--5

WHEN 6 => B<="1011111";--6

WHEN 7 => B<="1110000";--7

WHEN OTHERS=> B<="0000000";

END CASE;

END PROCESS P3;

P4:process(temp)

begin

CASE temp IS

WHEN 0=> cat<="11111110";--0

WHEN 1=> cat<="11111101";--1

WHEN 2=> cat<="11111011";--2

WHEN 3=> cat<="11110111";--3

WHEN 4=> cat<="11101111";--4

WHEN 5=> cat<="11011111";--5

WHEN 6=> cat<="10111111";--6

WHEN 7=> cat<="01111111";--7

WHEN OTHERS=>cat<="11111111"; END CASE; END PROCESS P4; END a;

②向左滚动

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY cycle0_7_2 IS

PORT(

cp:IN STD_LOGIC;

cat:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);

B:OUT STD_LOGIC_VECTOR(0 to 6));

END cycle0_7_2;

ARCHITECTURE a OF cycle0_7_2 IS

signal clk:STD_LOGIC;

signal temp:INTEGER RANGE 0 TO 7;

SIGNAL tmp: INTEGER RANGE 0 TO 49999;

SIGNAL tmp2: INTEGER RANGE 0 TO 999;

SIGNAL round: INTEGER RANGE 0 TO 15;

begin

P1:PROCESS(cp)

BEGIN

IF cp'event AND cp='1' THEN

IF tmp=49999

THEN

tmp<=0;

ELSE

tmp<=tmp+1;

END IF;

IF tmp<=24999

THEN

clk<='0';

ELSE

clk<='1';

END IF;

END IF;

END PROCESS P1;

P5:PROCESS(clk)

BEGIN

IF clk'event AND clk='1' THEN

IF tmp2=999

THEN

tmp2<=0;

IF round=15

THEN

round<=0;

ELSE

round<=round+1;

END IF;

ELSE

tmp2<=tmp2+1;

END IF;

END IF;

END PROCESS P5;

P2:process(clk)

begin

IF (clk'event and clk='1') THEN

IF temp=7 THEN

temp<=0;

ELSE

temp<=temp+1;

END IF;

END IF;

END PROCESS P2;

P3:process (temp)

variable roundnum:INTEGER RANGE -8 TO 14;

begin

if round>7 then

roundnum:=temp+round-16;

else

roundnum:=temp+round;

end if;

CASE roundnum IS

WHEN 0 => B<="1111110";--0

WHEN 1 => B<="0110000";--1

WHEN 2 => B<="1101101";--2

WHEN 3 => B<="1111001";--3

WHEN 4 => B<="0110011";--4

WHEN 5 => B<="1011011";--5

WHEN 6 => B<="1011111";--6

WHEN 7 => B<="1110000";--7

WHEN OTHERS=> B<="0000000"; END CASE;

END PROCESS P3;

P4:process(temp)

begin

CASE temp IS

WHEN 0=> cat<="11111110";--0

WHEN 1=> cat<="11111101";--1

WHEN 2=> cat<="11111011";--2

WHEN 3=> cat<="11110111";--3

WHEN 4=> cat<="11101111";--4

WHEN 5=> cat<="11011111";--5

WHEN 6=> cat<="10111111";--6

WHEN 7=> cat<="01111111";--7

WHEN OTHERS=>cat<="11111111"; END CASE;

END PROCESS P4;

END a;

六、实验仿真波形图及分析

(1)数码管串行扫描电路

仿真波形图分析:为了仿真效率,将分频器的分频系数降低为2。根据仿真波形,可以确定电路实现了数码管串行扫描电路,cp端输入时钟信号,B[0]-B[6]对应数码管的a-g端,cat端输出为数码管选通信号,依次选通DISP0-DISP7。但我们也可以发现输出B出现了静态功能冒险,要消除该冒险可以加入相应的选通脉冲。

(2)数码管滚动显示电路

①循环左滚动

仿真波形图分析:为了仿真效率,将分频器的分频系数降低为2,并提高了滚动的频率。根据仿真波形,可以确定电路实现了数码管滚动显示电路,循环左滚动。cp端输入时钟信号,B[0]-B[6]对应数码管的a-g端,cat端输出为数码管选通信号,依次选通DISP0-DISP7。但我们也可以发现输出B出现了静态功能冒险,要消除该冒险可以加入相应的选通脉冲。

②向左滚动

仿真波形图分析:为了仿真效率,将分频器的分频系数降低为2,并提高了滚动的频率。根据仿真波形,可以确定电路实现了数码管滚动显示电路,向左滚动。cp端输入时钟信号,B[0]-B[6]对应数码管的a-g端,cat端输出为数码管选通信号,依次选通DISP0-DISP7。但我们也可以发现输出B出现了静态功能冒险,要消除该冒险可以加入相应的选通脉冲。

故障及问题分析

1、实验2(1)中,将B[0]-B[6]与数码管的a-g对应顺序颠倒,导致了数码管显示错误。解决方法,

将B的输出顺序颠倒。

2、实验3(2)中,在仿真时将分频器的分频系数降低为2,忘记改回50兆,导致计数器频率过快。

3、实验4(2)中,在仿真时将分频器的分频系数降低为2,并提高了滚动的频率,忘记改回正常频

率,导致计数器滚动过快。

总结和结论

经过逻辑分析,编写VHDL代码,然后调试,进行波形仿真,最后下载到实验板实现,一系列工序之后,成功实现了本实验。我认识到进行数字电路实验,理论分析是十分重要的一环,只有逻辑分析透彻无误,才能用语言进行描述,进而用硬件实现。这次实验让我对VHDL这样一门硬件描述语言有了更加深刻的认识。编写硬件描述代码,不仅要符合基本编程语言的规范,更应该时时刻刻联系实现功能的硬件,理解时序和组合的关系,理解同步和异步的差异,理解进程和信号的流程等等,这样才能使写出的代码效率更高。在这学期数字电路实验中,我在实验操作能力有所提升的同时也更深的理解了数字电路与逻辑设计的理论知识,也体会到了数字电路的强大功能。

北邮2016电磁场与电磁波实验报告

电磁场与电磁波实验报告 题目:校园无线信号场强特性的研究 姓名班级学号序号

目录 一、实验目的 (2) 二、实验内容 (2) 三、实验原理 (5) 四、实验步骤 (5) 1、实验对象选取 (5) 2、数据采集 (5) 五、实验数据 (2) 1、原始数据录入 (7) 2、数据处理流程 (7) 六、实验结果与分析 (8) 1、主楼周边电磁场信号强度分析 8 2、主楼室内不同楼层楼道信号强度分析 11 七、问题分析与解决 (15) 1、Matlab 仿真问题研究与解决 (23) 2、场强分布的研究 (23)

3、模型拟合........................................................ . (24) 八、分工安排及心得体会 (25) 附录I:原始数据 (26) 附录II:源代码 (30) 一.实验目的 1.掌握在室内环境下场强的正确测试方法,理解建筑物穿透损耗 的概念; 2.通过实地测量,分析建筑物穿透损耗随频率的变化关系; 3.研究建筑物穿透损耗与建筑材料的关系。 4.掌握在移动环境下阴影衰落的概念以及正确测试方法。二.实验内容 利用DS1131场强仪和拉杆天线,实地测量信号场强。

1.研究具体现实环境下阴影衰落分布规律,以及具体的分布参数 如何; 2.研究在校园内电波传播规律与现有模型的吻合程度,测试值与 模型预测值的预测误差如何; 3.研究建筑物穿透损耗的变化规律 三.实验原理 无线通信系统是由发射机、发射天线、无线信道、接收机、接收天线所组成。对于接收者,只有处在发射信号覆盖的区域内,才能保证接收机正常接收信号,此时,电波场强大于等于接收机的灵敏度。因此,基站的覆盖区的大小,是无线工程师所关心的。决定覆盖区大小的因素主要有:发射功率、馈线及接头损耗、天线增益、天线架设高度、路径损耗、衰落、接收机高度、人体效应、接收机灵敏度、建筑物的穿透损耗、同播、同频干扰。 【阴影衰落】 阴影衰落是电磁波在空间传播时受到地形起伏、高达建筑物群的阻挡,在这些障碍物后面会产生电磁场的阴影,造成场强中值的变化,从而引起信号衰减。阴影衰落的信号电平起伏是相对缓慢的,又称为慢衰落,其特点是衰落与无线电传播地形和地物的分布、高度有关。在无线信道里,造成慢衰落的最主要原因是建筑物或其他物体对电波的遮挡。在测量过程中,不同测量位置遇到的建筑物遮挡情况不同,

北邮数字电路综合实验报告

数字电路综合实验报告 简易智能密码锁 一、实验课题及任务要求 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行4 位数字密码设定输入,在数码管上显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码,恢复初始密码“0000”。闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。 2、密码锁的密码位数(4~6 位)可调。

3、自拟其它功能。 二、系统设计 2.1系统总体框图 2.2逻辑流程图

2.3MDS图 2.4分块说明 程序主要分为6个模块:键盘模块,数码管模块,点阵模块,报警模块,防抖模块,控制模块。以下进行详细介绍。 1.键盘模块 本模块主要完成是4×4键盘扫描,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出高电平,在读入输出的行值时,通常高电平会被低电平拉低,当当前位置为高电平“1”时,没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出4列为高电平,然后在输出4行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。由此可确定按键位置。

北京邮电大学数电实验一实验报告

北京邮电大学数字电路与逻辑 设计实验 学院: 班级: 作者: 学号:

实验一 Quartus II原理图输入法设计 一、实验目的: (1)熟悉Quartus II原理图输入法进行电路设计和仿真 (2)掌握Quartus II 图形模块单元的生成与调 (3)熟悉实验板的使用 二、实验所用器材: (1)计算机 (2)直流稳压电源 (3)数字系统与逻辑设计实验开发板 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模 块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能, 并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数 ,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 四、设计思路和过程 (1)半加器的设计 半加器电路是指对两个输入数据位进行加法,输出一个结果位和进位,不产生进位输入的加法器电路。是实现两个一位二进制数的加法运算电路。数据输入AI被加数、BI加数,数据输出SO和数(半加和)、进位C0。 在数字电路设计中,最基本的方法是不管半加器是一个什么样的电路,按组合数字电路的分析方法和步骤进行。 1.列出真值表 半加器的真值表见下表。表中两个输入是加数A0和B0,输出有一个是和S0,另一个是进位C0。

2 该电路有两个输出端,属于多输出组合数字电路,电路的逻辑表达式如下函数的逻辑表达式为:SO=AI⊕BI CO=AB 所以,可以用一个两输入异或门和一个两输入与门实现。

北邮2015电磁场与电磁波期末试题,感谢电子院17级fx学长

北京邮电大学2014—2015学年第 2 学期 《电磁场与电磁波》期末考试试题(A 卷) 一、 (10分,每空1分) 填空题 1. 设J 为电流密度矢量,则(',',')x y z ??=J 。 2. 描述了电磁场的变化规律,以及场与源的关系。 3. 根据麦克斯韦方程组,时变电场 旋 散,电场线可以闭合,也可以不闭合;时变磁场 旋 散,磁感线总是闭合的。(注:可选择填写“有”或者“无”) 4. 分离变量法可应用于直角坐标、圆柱坐标、球坐标等坐标系下。同一个问题,在不同的坐标系里求解会导致一般解的形式不同,但其解是 。 5. 在相对介电常数为4,相对磁导率为1的理想介质中,电磁波的波阻抗为 。 6. 平面波()() sin 2cos z m y m E t kx E t kx ωω=-+-E e e v v v 的传播方向为: ;其极化形式为: 。 答案: 1. 0; 2. 麦克斯韦方程组; 3. 有,有,有,无; 4. 唯一的; 5. 60π 377/2Ω或者 6. x 方向传播,右旋椭圆极化波; 二、(14分)如图1所示,一半径为R 的导体球上带有电量为Q 的电荷,在距离球心D (D > R )处有一点电荷q ,求: (1)导体球外空间的电位分布; (2)导体球对点电荷q 的力。 q (,) p r θ A

图1 题二图 解:(1)导体电位不为零,球外任一点P (到球心O 距离为r )的电位?可分解为一个电位为V 的导体产生的电位?1,以及电位为零的导体的感应电荷q ′与点电荷q 共同产生的电位?2。? = ?1+?2。q ′与可用镜像电荷代替,电位?1由放在球心的-q ′与Q 产生。 利用球面镜像得 2 ',R R q q d D D =-=…………………………3分 1200102 00102 ,4π4π4π4π4π4πQ q q q r r r Q q q q r r r ??εεε?εεε''-==+ ''-=++ ……………………5分 因此,导体球外任一点的电位为 42 221/2 2 1/2 021(4π(2cos )(2cos )DQ Rq qR q R R Dr r D rD D r r D D ?εθθ+= -+ +-+- …………………………8分 导体球的电位为 004πDQ Rq RD ?ε+= ……… …………………10分 (2)点电荷q 所受到的力为'Q q -和'q 对点电荷q 的力,即 ''322222222 00(2) [][]4π()4π()Q q q q q R q R D f Q D D d D D D R εε--=+=+-- …………………………14分 三、(14分)相对磁导率为r 1μ=的理想介质中传播电场瞬时值为 :8(,)30)cos[3π10π()]x z r t t x =+?-E e V/m 。试求:

极化波实验报告

篇一:电磁场与微波实验报告(极化波)实验报告 课程名称:电磁场与微波技术实验指导老师:谢银芳、王子立成绩: 实验名称:极化波实验类型:验证型实验同组学生姓名:一、实验目的和要求(必填)二、实验内容和原理(必填)三、主要仪器设备(必填)四、操作方法和实验步骤五、实验数据记录和处理六、实验结果与分析(必填)七、讨论、心得 一、实验目的和要求 1、研究线极化波,圆极化波和椭圆极化波的产生和各自的特点。 2、了解线极化波,圆极化波和椭圆极化波特性参数的测量方法。 3、通过对三种线性极化波的研究,加深对电磁场极化特性的认识与理解。 二、实验内容和原理 原理:平面电磁波的极化是指电磁波传播时,空间某点电场强度矢量e随时间变化的规律。若 e的末端轨迹在一条直线上时,称为线极化波;若e末端的轨迹是圆(或椭圆),称为圆(或椭圆)极化波。若圆运动轨迹与波的传播方向符合右手(或左手)螺旋规则时,则称为右旋(或左旋)圆极化波。而椭圆极化波末端为椭圆形。线极化波、圆极化波和椭圆极化波都可由两个同频率的正交线极化波组合而成。设同频率的两个正交线极化波为: ex?exme?j(kz??x)ey?eyme?j(kz??y) 当?x??y??,exm??eym时,是线极化波当?x??y?? ? 2 ,exm??eym时,是圆极化波 当?x??y介于线极化波与圆极化波时,是椭圆极化波 内容:1.圆极化波的调整与测量 2.线极化波的调整与测量 3.椭圆极化波的调整与测量 三、主要仪器设备 如下图所示,其中辐射喇叭由固态信号源、衰减器及矩形喇叭组成。其中固态信号源工作频率为f=9375mhz。接收喇叭由矩形喇叭,检波器,,微安表等组成。其它装置基本上与实验一相同。 四、实验步骤和结果记录 1、圆极化波 根据圆极化波的要求,两相同频率的正交场相干波必须幅度相等,相位差? o ? 2 。因此, 先使发射喇叭的转角为45左右,分别将接收喇叭垂直与水平放置,收到em1和em2,然后转动接收喇叭到任意一个角度,则将会出现大于或者小于em1值的情况。然后慢慢移动pr2的位置,知道接收喇叭在各个角度上的输出指示值都相等。这样就实现了 ???kz1?kz2?? pr0:α=50.0° pr2:l0=25.214mm ? 2 ,记此时pr2的位置为l0,依照表格记录相关数据。 pr3:|em1|=|em2|∝i=3.46 圆极化波调整与测试数据记录: 2、线极化波

北邮2020春电磁场与电磁波期末试题

北京邮电大学2019-2020年第二学期期末考试 电磁场与电磁波试题(开卷,A ) 已知:-12091= =8.8510(/)3610 F m επ??,70=410(/)H m μπ-? 一、(15分) 相距无穷远的不带电孤立导体球壳A 与孤立导体球B ,其中球壳A 的内径为b ,外径为a ,内外径之间为理想导体,r b <及r a >处为真空;导体球B 半径为与球壳A 的外径相同。在球壳A 中,距离中心c (c b <)处存在一电量为Q 的点电荷。将导体球B 从无穷远处移动到球壳A 处,并与球壳A 充分接触后再移动到无穷远处,试求:在整个移动导体球B 的过程中外力所作的功。(提示:可考虑功能原理) 二(10分)、太阳能电池板的能量转化效率为30%,一个2.5平方米的太阳能电池板供一个1000瓦的灯泡照明,假设太阳光是线偏振的单色平面波,试估计太阳光的电场与磁场的振幅。 三(15分)、设一平行大地的双导体传输线, 距地面高度为h, 导体半径为a, 二轴线间的距离为d (a<

四(15分)、一个长方形导体盒,各边尺寸分别是a ,b ,c ,各周界之间相互绝缘,每个面的电位函数如题四图所示,试求导体盒内部的电位函数。。 题四图 五(10分)、证明:对于良导体导体内单位宽度断面的表面电流:J s =H 0,期中H 0为导体表面的切向磁场强度。 六、(15分)一右旋圆极化波垂直入射到位于z=0的理想导体板上,其电场强度的复数表示式为0()j z x y i E E e j e e β→→ -=- 求:(1) 确定反射波的极化方式,说明原因; (2) 求导体板上的感应电流; (3) 求总电场的瞬时表达式。 七(10分)、设在波导中沿z 轴传播的电磁波的形式为: 022c c πππcos sin e j z z x E m m n E E x y k x k a a b βγγ-?-??????==- ? ? ???????? 试以此分析并说明相移常数β和波数k 之间的关系。 八、(10分)为什么说电偶极子的近区场为准静态场?是不是在近区场绝对没有能量的辐射?电偶极子的辐射效率如何?

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

北邮电磁场与微波实验天线部分实验报告二

北邮电磁场与微波实验天线部分实验报告二

信息与通信工程学院电磁场与微波实验报告

实验二网络分析仪测试八木天线方向图 一、实验目的 1.掌握网络分析仪辅助测试方法; 2.学习测量八木天线方向图方法; 3.研究在不同频率下的八木天线方向图特性。 注:重点观察不同频率下的方向图形状,如:主瓣、副瓣、后瓣、零点、前后比等; 二、实验步骤: (1) 调整分析仪到轨迹(方向图)模式; (2) 调整云台起点位置270°; (3) 寻找归一化点(最大值点); (4) 旋转云台一周并读取图形参数; (5) 坐标变换、变换频率(f600Mhz、900MHz、1200MHz),分析八木天线方向图特性; 三、实验测量图 不同频率下的测量图如下: 600MHz:

900MHz:

1200MHz:

四、结果分析 在实验中,分别对八木天线在600MHz、900MHz、1200MHz频率下的辐射圆图进行了测量,发现频率是900MHz的时候效果是最好的,圆图边沿的毛刺比较少,方向性比较好,主瓣的面积比较大。 当频率为600 MHz的时候,圆图四周的毛刺现象比较严重,当频率上升到1200MHz时,辐射圆图开始变得不规则,在某些角度时出现了很大的衰减,由对称转向了非对称,圆图边缘的毛刺现象就非常明显了,甚至在某些角度下衰减到了最小值。 从整体来看,八木天线由于测量的是无线信号,因此受周围环境的影响还是比较大的,因此在测量的时候周围的人应该避免走动,以减小对天线电磁波的反射从而减小测量带来的误差使得圆图更接近真实情况。 由实验结果分析可知:最大辐射方向基本在90°和270°这条直线上,图中旁瓣均较小,及大部分能量集中在主瓣。 八木天线由于测量的是无线信号,因此受周围环境的影响还是比较大的,因此在测量的时候应当尽量保持周边环境参数一定,以减小对天线电磁波的反射从而减小测量带来的误差使得圆图更接近真实情况。 五、实验总结

北邮2013年电磁场与电磁波期末试卷

北京邮电大学2012—2013学年第 2 学期 《电磁场与电磁波》期末考试试题(A 卷) 试题中需要用到的介质常数:0913610 επ=?F/m,70410μπ-=?H/m 一 填空题(每个空1分,共10分) (1) 截面为矩形(a ×b )的无限长金属槽, 各面的电位如图所示,使用分离变量法求解电位 (,)()()x y X x Y y φ=所满足的拉普拉斯方程,X (x )的通 解为 函数,Y (y )的通解为 函数。(无需写 出具体的解函数,仅指出函数类型即可) (2) 时变电磁场磁场强度的切向边界条件为 ,电场强度的切向边界条件为 。 (3)平行极化波从空气中斜入射到理想导体的表面,合成波在分界面法线方向上属于 波,在平行于分界面方向上属于 波。 (4) 极化波以布儒斯特角入射时会发生全折射现象,当平面波从折射率较高的介质入射到折射率较低的介质,当入射角 临界角时发生全反射现象。 (5)在电偶极子激发的电磁场中,近区场为 场,远区场为 场。 二 在接地的导体平面上有一半径为a 的半球凸部,半球的球心在导体平面上,若在半球对称轴上离球心h (h>a )处放一点电荷q , (1)确定镜像电荷的个数、大小与位置(10分); (2)求导体外任一点P 处的电位(5分)。 x

三 给出麦克斯韦方程组的微分形式、物质的本构方程(辅助方程)及用复数表示的麦克斯韦方程组的微分形式(10分) 四 真空中一均匀平面电磁波的磁场强度矢量为 63110()cos[()](/)22 x y z H a a a t x y z A m ωπ-=+++--r r r r ,求 (1) 波的传播方向的单位矢量,波长与频率(5分); (2) 电场强度矢量的瞬时值表达式(5分); (3) 波印廷矢量的平均值(5分)。 五 频率100MHz 的平面波在金属铜中传播,已知铜的电导率为75.810(/)S m σ=?,相对介电常数1r ε=,相对磁导率1r μ=,某处磁场强度的幅度为00.1(/)y H A m =,求 (1) 铜内平面波传播的衰减常数、相移常数及相速度(5分); (2) 波阻抗ηe 及磁场对应处的电场幅度E x 0(5分)。 (注意:解题过程可能会用到需要以下公式,大家可根据需要选择使用: 2111,281,2e e j σασβαβωεσηηωε???≈≈+≈≈? ??????= +=?? ) 六 均匀平面波(电场在x 方向,磁场在y 方向,向z 方向传播)由空气垂直入射到位于z=0处理想介质平面,已知入射波电场强度的幅度30 1.510(/)E V m +-=?,初相位?=0,介质的相对电导率4r ε=,相对磁导率1r μ=,8310(/)rad s ω=?,求 (1) 电场反射系数与透射系数(5分); (2) 反射波的电场强度与磁场强度的复数表达式(5分); (3) 透射波的电场强度与磁场强度的复数表达式(5分)。 七 证明题 (1) 证明任一线极化波总可以分解为两个振幅相等旋向相反的圆极化波的叠 加(5分);

2016年北邮数电实验报告

数字电路与逻辑设计 实验报告 学院:电子工程学院 班级: 姓名: 学号: 班内序号:

目录 (一)实验名称及实验任务要求 (1) (二)模块端口说明及连接图 (2) 1.1实验三(3)模块端口说明 (2) 1.2实验三(3)连接图 (2) 2.1实验四模块端口说明 (2) 2.2实验四连接图 (2) (三)原理图或VHDL代码 (3) 1.实验一(2)原理图 (3) 2.实验三(3)VHDL代码 (4) 3.实验四VHDL代码 (7) (四)仿真波形 (10) 1.实验一(2)仿真波形 (10) 2.实验三(3)仿真波形 (11) 3.实验四仿真波形 (11) (五)仿真波形分析 (11) 1.实验一(2)仿真波形分析 (11) 2.实验三(3)仿真波形分析 (11) 3.实验四仿真波形分析 (11) (六)故障及问题分析 (12) (七)总结和结论 (13)

(一)实验名称及实验任务要求 实验一 名称:QuartusII原理图输入法设计与实现 实验任务要求:EDA基础实验1(1)、(2)、(3)必做,选做VHDL 实现加法器。 实验二 名称:用VHDL设计与实现组合逻辑电路 实验任务要求:四人表决器、8421码转格雷码、数码管译码器(下载测试)。 实验三 名称:用VHDL设计与实现时序逻辑电路 实验任务要求:分频器、8421十进制计数器、将分频器/8421十进制计数器/数码管译码器3个电路进行连接并下载。 实验四 名称:用VHDL设计与实现相关电路 实验任务要求:数码管动态扫描控制器、点阵扫描控制器。

(二)模块端口说明及连接图 1.1实验三(3)模块端口说明 cp:时钟信号输入; rst:8421十进制计数器异步置位; c[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 1.2实验三(3)连接图 2.1实验四模块端口说明 cp:时钟信号输入; rst:8421计数器异步复位; lgt[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 2.2实验四连接图

北邮数电实验报告

北京邮电大学实验报告 实验名称:数字电路与逻辑设计实验报告 学院:信息与通信工程学院 班级: 姓名: 学号: 序号: 日期:

实验三:用VHDL语言设计与实现逻辑电路 一、实验内容 1. 用VHDL语言设计实现一个带异步复位的8421码十进制计数器,仿真验证其功能,并下载到实验版测试。要求用按键设定输入信号,发光二极管显示输出信号; 2.用VHDL语言设计实现一个分频系数为12,分频输出信号占空比为50%的分频器,仿真验证其功能; 3.将(1),(2)和数码管译码器3个电路进行连接,并下载到实验板显示计数结果。 二、模块端口说明及连接图 1.分频器 2. 计数器 clk: 时钟输入信号 clk: 时钟信号输入 clear: 复位信号输入 clear: 复位信号输入 clk_out: 时钟分频后的信号输出 q: 计数器的输出 3.数码管显示 b: 数码管的输入信号 seg: 译码显示输出 onoff: 数码管的输出控制

4.连接图 三、实验分析 1.设计思路 本实验将之前的分频器和计数器以及数码管显示模块组合起来,实现了单个数码管现显示0~9,每隔0.5s切换一次显示内容。 COMPONENT div_12实现了时钟分频,将50MHz的单片机晶振时钟进行分频,输出频率2HZ占空比50%的方波时钟,以此时钟作为内部时钟驱动计数器。 COMPONENT jishuqi是一个十进制计数器,NUM从“0000”到“1001”循环变化,模为10。计数器的输出传递给数码管译码显示电路。 COMPONENT seg7_1是数码管译码显示电路,将收到的信号NUM译码并控制数码管的段锁存来控制数码管的显示。 整体来看,div-12提供了分频后2Hz的时钟,驱动计数器计数,计数的结果作为数码管译码显示模块的输入,根据计数器实时的数进行数码管的显示。综合起来就实现了设计的功能。 在进行电路的连接时,可直接在代码中分成三个进程来实现,也可通过为每个模块建立符号,连接电路图来实现。 2. 具体代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpinjishu IS PORT( clear2 :IN STD_LOGIC; clk1:IN STD_LOGIC; b1:OUT STD_LOGIC_VECTOR(6 downto 0); CAT:OUT STD_LOGIC_VECTOR(7 downto 0) );

北邮-电磁场电磁波实验报告合集版

北京邮电大学 电磁场与微波测量实验报告 学院:电子工程学院 班级: 组员:

实验一微波测量系统的使用和信号源波长功率的测量一、实验目的: (1)学习微波的基本知识; (2)了解微波在波导中传播的特点,掌握微波基本测量技术; (3)学习用微波作为观测手段来研究物理现象。 二、实验原理: 本实验接触到的基本仪器室驻波测量线系统,用于驻波中电磁场分布情况的测量。 该系统由以下九个部分组成: 1.波导测量线装置 2.晶体检波器 微波测量中,为指示波导(或同轴线)中电磁场强度的大小,是将它经过晶体二极管检波变成低频信号或直流电流,用直流电流表的电流I来读数的。 3.波导管 本实验所使用的波导管型号为BJ-100。 4.隔离器 位于磁场中的某些铁氧化体材料对于来自不同方向的电磁波有着不同吸收,经过适当调节,可使其对微波具有单方向传播的特性,隔离器常用于振荡器与负载之间,起隔离和单向传输的作用。 5.衰减器 把一片能吸微波能量的吸收片垂直于矩形波导的宽边,纵向插入波导管即成,用以部分衰减传输功率,沿着宽边移动吸收片可改变衰减量的大小。衰减器起调节系统中微波功率从以及去耦合的作用。 6.谐振式频率计(波长表) 电磁波通过耦合孔从波导进入频率计的空腔中,当频率计的腔体失谐时,腔里的电磁场

极为微弱,此时,它基本不影响波导中波的传输。当电磁波的频率计满足空腔的谐振条件时,发生谐振,反映到波导中的阻抗发生剧烈变化,相应地,通过波导中的电磁波信号强度将减弱,输出幅度将出现明显的跌落,从刻度套筒可读出输入微波谐振时的刻度,通过查表可得知输入微波谐振频率。 7.匹配负载 波导中装有很好地吸收微波能量的电阻片或吸收材料,它几乎能全部吸收入射功率。8.环形器 它是使微波能量按一定顺序传输的铁氧体器件。主要结构为波导Y型接头,在接头中心放一铁氧体圆柱(或三角形铁氧体块),在接头外面有“U”形永磁铁,它提供恒定磁场H0。 9.单螺调配器 插入矩形波导中的一个深度可以调节的螺钉,并沿着矩形波导宽壁中心的无辐射缝作纵向移动,通过调节探针的位置使负载与传输线达到匹配状态。调匹配过程的实质,就是使调配器产生一个反射波,其幅度和失配元件产生的反射波幅度相等而相位相反,从而抵消失配元件在系统中引起的反射而达到匹配。 10.微波源 提供所需微波信号,频率范围在8.6-9.6GHz内可调,工作方式有等幅、方波、外调制等,实验时根据需要加以选择。 11.选频放大器 用于测量微弱低频信号,信号经升压、放大,选出1kHz附近的信号,经整流平滑后输出级输出直流电平,由对数放大器展宽供给指示电路检测。 三、实验方法和步骤: 1.观察测量系统的微波仪器连接装置,衰减器,波长计,波导测量线的结构形式。 2.熟悉信号源的使用 先将信号源的工作方式选为:等幅位置,将衰减至于较大位置,输出端接相应指示器,观察输出;再将信号源的工作方式选为:方波位置,将衰减至于较大位置,输出端接相应指示器,观察输出; 3.熟悉选聘放大器的使用; 4.熟悉谐振腔波长计的使用方法; 微波的频率测量是微波测量的基本内容之一。其测量方法有两种:(1)谐振腔法;(2)

北邮电磁场与电磁波演示实验

频谱特性测量演示实验 1.ESPI 测试接收机所测频率范围为: 9KHz—3GHz 2.ESPI 测试接收机的RF输入端口 最大射频信号: +30dbm,最大直流:50v 3.是否直观的观测到电磁波的存在?(回答是/否) 否 4.演示实验可以测到的空间信号有哪些,频段分别为: 广播:531K~1602KHz GSM900:上行:890~915 MHz 下行:935~960 MHz GSM1800:上行:1710~1755 MHz 下行:1805~1850 MHz WCDMA:上行:1920~1980MHz 下行:2110~2170MHz CDMA2000:上行:1920~1980MHz 下行:2110~2170MHz TD-SCDMA:2010~2025MHz 5.课堂演示的模拟电视和数字电视频谱图:如何判断是模拟还是数字电视? 模拟信号以残留边带调幅方式频分复用传输,有明确的载波频率,不同频道的图像有不同的载波频率。模拟信号频谱为:每8MHz带宽即一个频道内,能量集中分布在图像载频上,在该载频附近有一个跳动的峰,为彩色副载波所在,再远一点(在8MHz内)还有一个峰,为伴音副载波的峰。 数字信号:一个数字频道的已调信号像一个抬高了的噪声平台, 均匀地平铺于整个带宽之内, 它的能量是均匀分布在整个限定带宽内的。 6.课堂演示GSM900上下行频谱图,CDMA下行频谱图,3G下行频谱图: GSM900上行:

GSM900下行:

CDMA下行: 3G下行:

7.该频谱仪能检测的频谱范围,是否能观察到WIFI、电磁炉、蓝牙等频谱?(请 分别说明,并指出其频率) 可以 该频谱仪能检测的频谱范围为9KHz—3GHz 所以,能够观察到:WIFI:2.4G 电磁炉:20KHz—30KHz 蓝牙:2.4G 网络参量测量演示实验 1矢量网络分析仪所测频段:300KHz—3GHz 2端口最大射频信号: 10DBM 3矢量网络分析仪为何要校准: 首先,仪器的硬件电路需要校正,即消除仪器分析的系统误差;其次,分析仪的测量精度很大程度上受分析仪外部附件的影响,测试的组成部分如连接电缆和适配器幅度和相位的变化会掩盖被测件的真实响应,必须通过用户校准去除这些附件的影响。 4默认校准和用户校准的区别: 默认校准通过网络分析仪的套包的一系列校准标准来完成,对系统误差进行校准;用户校准时校准标准由用户制定,由用户定义的标准来完成,用于对参考面等进行精确校准。 5使用矢量网络分析仪的注意事项: 1、检查电源: 分析仪加电前,必须确认供电电源插座的保护地线已经可靠接地; 2、供电电源要求: 为防止或减少由于多台设备通过电源产生的相互干扰,特别是大功率设备产生的尖峰脉冲干扰可能造成分析仪硬件的毁坏,最好用220V交流稳压电源为分析仪供电; 3、电源线的选择: 使用随机携带的电源线,更换电源线时,最好使用同类型的电源线;

北邮-数电实验报告

北邮-数电实验报告

数字电路实验报告 学院:信息与通信工程 专业:信息工程 班级:2013211125 学号:2013210681 姓名:袁普

②:仿真波形图以及分析 波形图: 波形分析:通过分析ab ci三个输入在8中不同组合下的输出,发现与全加器的真值表吻合,说明实现了全加器的逻辑功能。同时看见波形中出现了毛刺(冒险),这也与事实一致。 ③:故障及问题分析 第一次在做全加器的时候发现找不到已经生成的半加器模块,后来发现是因为在建立工程时这两个项目没有建在同一个文件夹里,在调用的时候就找不到。后来我将全加器工程建在同一个文件夹里解决了此问题。

实验二:用VHDL设计和实现组合逻辑电路 一:实验要求 ①:用VHDL设计一个8421码转换为格雷码的代码转换器,仿真验证其功能。 ②:用VHDL设计一个4位二进制奇校验器,要求在为奇数个1时输出为1,偶数个1时输出为0,仿真验证其功能。 ③:用VHDL设计一个数码管译码器,仿真验证其功能,下载到实验板测试,要求用拨码开关设定输入信号,数码管显示输出信号,并且只使一个数码管有显示,其余为熄灭状态。 二:故障及问题分析 在刚开始实现让一个数码管显示的时候,我本来准备再设置6个输入和输出,通过实验板上的拨码来输入信息分别控制不同的数码管的的开闭状态,但是后来发现这样效率很低而且实验板上的拨码开关数量根本不够。在老师的提醒下,我最终在VHDL里直接增加了一个向量输出”011111”来直接控制cat0~5六个管脚,从而达到了实验的要求。

实验三:用VHDL设计和实现时序逻辑电路 一:实验要求 ①:用VHDL语言设计实现一个8421十进制计数器,要求有高电平复位功能,仿真验证其功能。 ②:用VHDL语言设计实现一个分频系数为12,输出为占空比50%方波的分频器,有高电平复位功能,仿真验证其功能。 ③:将(1),(2)和数码管译码器三个电路进行连接,仿真验证其功能,并下载到实验板进行测试,要求第三个数码管显示数字。二:报告内容 ①实验三(3)模块端口说明及模块代码 模块一:div12为一个有高电平复位功能的分频系数为12的分屏器,其输出是一个占空比50%的方波。此模块输入连接一个时钟输入,即可在输出端得到一个周期更大的方波输出。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity div12 is port( clear,clk:in std_logic; clk_out:out std_logic ); end div12; architecture struct of div12 is signal temp:integer range 0 to 5; signal clktmp:std_logic; begin process(clk,clear) begin if(clear='1') then

北邮电磁场与电磁波测量实验报告6-驻波比-阻抗

北邮电磁场与电磁波测量实验报告6-驻波比-阻抗

————————————————————————————————作者:————————————————————————————————日期:

北京邮电大学 电磁场与电磁波测量实验 实验报告 实验内容:微波驻波比的测量 阻抗测量及匹配技术 学院:电子工程学院 班级:2010211203班 组员:崔宇鹏张俊鹏章翀 2013年5月17日

实验三 微波驻波比的测量 一、实验目的 1.了解波导测量系统,熟悉基本微波原件的作用。 2.掌握驻波测量线的正确使用和用驻波测量线校准晶体检波器特性的方法。 3.掌握大、中、小电压驻波系数的测量原理和方法。 二、实验原理 驻波测量是微波测量中,最基本和最重要的内容之一,通过驻波测量可以测出阻抗波长相位和Q 值等其他参量。在传输线中,若存在驻波,将使能量不能有效的传给负载,因而会增加损耗,在大功率情况下,由于驻波存在可能发生击穿现象,;此外驻波促奈还会影响微波信号发生器输出功率和频率的稳定度,因此驻波测量非常重要,在测量时通常测量电压驻波系数,即波导中,电场最大值与最小值之比,即 min max E E = ρ (2.1) 测量驻波系数的方法与仪器种类很多,本实验着重熟悉用驻波测量线测驻波系数的几种方法。 1.直接法 直接测量沿线驻波的最大点与最小点场强如图1所示,从而求得驻波系数的方法叫做直接法。

若驻波腹点和节点处电表读数分别为min max ,I I 则电压驻波系数ρ: min max min max I I E E == ρ (2.2) 当电压驻波系数1.05<ρ<1.5时,驻波的最大值和最小值相差不大,且不尖锐,不易测准,为了提高测量准确度,可移动探针到几个波腹点和波节点记录数据,然后取平均值。 n n I I I I I I min 2min 1min max 2max 1max ......++++++= ρ (2.3) w Im 2I kI 图2 节点场强分布 Emi Ema E l 图1 沿线驻波场分布图

北邮数电实验报告

北京邮电大学 实验报告实验名称:数电电路与逻辑设计实验 学院:信息与通信工程学院 班级: 姓名: 学号: 班内序号: 日期:

一. 实验一:Quartus II 原理图输入法设计 1. 实验名称和实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块 元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号入信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数 F=A B C +A B C +A B C + A B C 。 2. 实验原理图及波形图 (1)半加器 (2)全加器

(3)74LS38 3.仿真波形图分析 (1)半加器: 输入为a,b,输出S,CO(进位)。 当ab都为0时,半加和s=0,进位端co=0。 当ab都为1时,半加和s=0,进位端co=1。 当a=1,b=0 或a=0,b=1时,半加和s=1,进位端co=0。 (2)全加器:

输入a,b,输出S,CO(进位),ci(低进位)。 当a=0,b=0,ci=0,输出s=0,co=0。 当a=0,b=1或a=1,b=0又 ci=0,输出s=1,co=0。 当a=0,b=0,ci=1,输出s=1,co=0。 (3)74LS138 输入A,B,C,输出为3。 四个输出对应F中的四个最小项,Y0、Y2、Y4、Y7,以实现函数功能。 二.实验二:用 VHDL 设计与实现组合逻辑电路 1.实验名称和实验任务要求 (1)用VHDL语言设计实现一个共阴极7段数码管译码器,仿真验证其功能。要求用拨码开关设定输入信号,7段数码管显示输出信号。 (2) 用VHDL语言设计实现一个8421码转换为余3码的代码转换器,仿真验证其功能。要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3) 用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个’1’时,输出为’1’,否则输出’0’,仿真验证其功能。要求用拨码开关设定输入信号,发光二极管显示输出信号。 2.实验代码及波形图 (1)共阴极7段数码管译码器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY GUAN IS PORT(A:IN STD_LOGIC_VECTOR(3 DOWNTO 0); B:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); C:OUT STD_LOGIC_VECTOR(5 DOWNTO 0)); END GUAN; ARCHITECTURE encoder_arch OF GUAN IS BEGIN PROCESS(A) BEGIN C<="011111"; CASE A IS WHEN"0000"=> B<="1111110";--0 WHEN"0001"=> B<="0110000";--1 WHEN"0010"=> B<="1101101";--2 WHEN"0011"=> B<="1111001";--3 WHEN"0100"=> B<="0110011";--4 WHEN"0101"=> B<="1011011";--5 WHEN"0110"=> B<="1011111";--6 WHEN"0111"=> B<="1110000";--7 WHEN"1000"=> B<="1111111";--8 WHEN"1001"=> B<="1111011";--9

北邮数电实验分析报告-信息

北邮数电实验报告-信息

————————————————————————————————作者:————————————————————————————————日期:

数字电路与逻辑设计实验 姓名*** 学院信息与通信工程学院 专业信息工程 班级*** 学号**** 班内序号***

实验一 一、实验名称和实验任务要求 1.实验内容:QuartusII原理图输入法设计与实现。 2.实验目的: (1)熟悉用QuartusII原理图输入法进行电路设计和仿真。 (2)掌握QuartusII图形模块单元的生成与调用。 (3)熟悉实验板的使用。 3.实验任务要求: (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图像模块。 (2)用实验内容1中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板上测试。要求用拨码开关设定输入信 号,发光二极管显示输出信号。 (3)用3线—8线译码器(74LS138)和逻辑门实现函数 F=(/)(/)(/)+(/)(/)+(/)(/)+,仿真验证其功能,并下载到实 验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 二、原理图 半加器模块和逻辑门设计实现的全加器: 三、仿真波形图及分析 电路实现了全加器的功能。全加器是实现两个1位二进制数及低位来的进位相加求得和数及向高位进位的逻辑电路。由其原理可得逻辑表达式:sum=ain⊕bin⊕cin

cout = (ain⊕bin)cin + ain*bin。 列出真值表: 输入输出 ain bin cin cout sum 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 仿真波形对比真值表,可以看出波形图与理论值完全符合。 四、故障及问题分析 1、问题:按照逻辑表达式连接了全加器电路后,仿真波形很乱。 解决方法:思考后知道了应该把输入信号依次设成2的n次方,这样的仿真波形清楚容易分析。 2、问题:把代码下载到板子上的过程中,进行到37%的时候停了,等了2分钟 也没继续下载。 解决方法:再次重连USB尝试下载,手紧握着接线口,下载成功了,分析可能是接线口接触不好。 实验二 一、实验名称和实验任务要求 1.实验内容:用VHDL设计与实现组合逻辑电路。 2.实验目的: (1)熟悉用VHDL语言设计组合逻辑电路的方法。 (2)熟悉用QuartusII文本输入法进行电路设计。 3.实验任务要求: (1)用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个‘1’时,输出为‘1’,否则输出‘0’,仿真验证其功能,并下载到实验板测 试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 (2)用VHDL语言设计实现一个8421码转换为余3码的代码转换器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号, 发光二极管显示输出信号。

相关文档
最新文档