出租车价格听证会

出租车价格听证会
出租车价格听证会

出租车价格听证会

郑州市出租汽车价格听证会昨日举行 19人发言仅3人反对涨价

出租车起步价涨2元几成定局

还有参加人建议起步价调整为9元/2公里,每公里收费2元,等待费由3分20秒1元调整为2分钟1元

河南商报记者陈清利昨日下午,郑州市出租汽车价格听证会如期举行,19位到场的听证会参加人进行了发言,其中仅有3人明确表示反对调价。如果单从同意或反对的角度看,此次出租车价格上调几乎已经成定局。

出租汽车起步价调整为8元/2公里,郑州市客运管理处的这一建议,在现场也并未完全得到参加人的认可,不少参加人提出了将时距并计由3分20秒1元调为2分钟1元、禁止车辆拥有者转包等观点。

现场仅3名消费者参加人反对涨价

此次听证会上,共有19位参加人发表了各自的观点。这19人包括8名消费者、3名司机、2位出租车公司代表、2名专家学者、1名人大代表、1名政协委员、2名政府部门人员。

消费者参加人梁中华、李茶妹、姬中贵3人明确表示反对此次出租车价格上调,其余16人同意涨价。

反对调价方中,梁中华认为出租车司机的收入都在3000元以上,属于中等偏上收入,且95%的受访者不同意调价,政府应考虑通过为出租车司机减负来增加收入。

李茶妹反对涨价的理由是,难打车是交通问题,不应该加到市民身上,目前郑州仅有1万多辆出租车,政府应再增加2万辆等。她认为,如果起步价要涨2元,应该政府承担1元、出租车公司承担5毛钱、消费者承担5毛钱。

姬中贵则认为,调价是把双刃剑,一旦价格上调,可能会造成出租车的空载率增加。

在同意涨价的阵营中,大家还纷纷提出了自己的调价方案,如将起步价调至2公里9元,运营时每公里收费2元等。

同时,缩短等候计费时间、拒载问题、行业改革、严禁转包、增加运力、打击黑出租等,也是此次出租车行业调价的焦点问题。

发言结束后,郑州市物价局副局长王文强表示,他们将根据此次听证会上的意见和建议,拿出一套合适的调价方案上报市政府,等待政府审批后公布实施。

焦点1

缩短等候计费时间

被多次提及

在听证会上,司机参加人姚雷宁、朱厚全,路达公司参加人均提出了调整等候时间的问题。

姚雷宁认为,调起步价不调公里或计时费用,这种调价方式没有任何科学依据。他认为国内执行的出租车价格极其不合理,只提起步价将会离市场规律越来越远。

在只调整起步价的情况下,会造成出租车司机不愿意进拥堵的市区,只在周边运营,这种情况会造成拒载、打车难等现象。

姚雷宁认为,将3分20秒收费1元调整为80秒比较合适,这样去拥堵地段和投机取巧营运所带来的收益大致相同,拒载现象将会大幅下降,让司机营运收入均衡并有所改善。

朱厚全则认为,将起步价提高两元并不能解决根本问题,建议起步价统一调整为9元/2公里,每公里收费2元,并将等候时间调整为2分钟收费1元。

路达公司同意由6元/2公里调整为8元/2公里,高峰期调为10元,并缩短等候时间。

焦点2

拒载问题多

乘客打到车感恩戴德

专家参加人胡扬表示,目前郑州市的出租车拒载现象严重,他便遇到过打车等了45分钟的经历。在拒载现象众多的情况下,当市民打到车时,甚至会有种对出租车司机感恩戴德的感觉。

他认为,目前郑州出租车之所以拒载现象严重,主要是因为行业封闭运行,出租汽车及驾驶员评价机制不畅造成的。这些问题,均是出租车行业的管理体制造成的,是政府部门的管理出了问题。

“如果管理方式不转变,即便将起步价涨到8元、12元,都没用。”胡扬表示,郑州的出租车司机,目前不但不能为郑州增光,还给郑州抹黑了。郑州如果想解决好出租车的问题,就应该在调价的过程中进行行业改革。

胡扬说,政府一定要打破内部封闭运营的机制,增加一定数量的出租车,同时建立出租汽车及驾驶员评价机制,将违规较多的司机清除出行业。

他认为,出租车行业的管理已经到了非改不可的地步,出租车涨价虽然基本具备了条件,但未到非涨不可的时候,因为这个行业中愿意离开的人还很少,明显是有利润的。

焦点3

政府应严禁出租车转包

在郑州出租车行业中,可以说有两类不同的从业者。一种是拥有出租车经营权的车主从业者,一种是包车的司机。

在车主中,如今有很多人已经不再运营了,而是以每月4000元或者更高的价格,将车承包给包车的司机。对车主而言,他们即便是不用干活,每月也拥有4000多元的包车费用,上千元的政府油价补贴等。

与车主的固定收益不同的是,包车的司机们不仅每月要上缴包车费用,还要承担运营中出现的维修、加气等各种费用。

针对越来越多的车主不再愿意自己开车的情况,消费者参加人王法星认为,政府应该对出租车转包的行为进行明令禁止,以便消除行业中存在的弊端。

此外,在发言中,参加人还提出了加强对黑车的打击力度,高峰时间增加时距并计标准等意见和建议。

博友观点

听证会不就是涨价会吗,

@茶香飘袅:为什么总是用提价来平衡出租营运成本,而不是通过降低管理费用来平衡,

@郑州荣麟小杰:出租车号牌为什么那么贵,几十万。不明白。

@铁头陈老湿: 听证会不就是涨价会吗,增加出租车数量、严惩拒载、更换新车、净化出租车司机队伍,做到这些,涨价没问题,不说别的,现在司机大爷们一句“交班”就已经成了万能的理由。

@张z浩:买个山地车,既锻炼身体又低碳,你们认为呢。

南京市物价局召开出租车价格听证会 4月27号下午2点,南京市物价局召开南京市出租车价格听证会,对出租车起步价调整提出了两种方案,同时提出出租车高峰期实行双计费和超过二十公里加收返空费的价格实施意见。

面对油价连年上调的现状,南京早在2007年七月就建立了出租汽车油价运价联动机制方案,2009年又对方案进行了完善,此次价格听证会上提出的调整意见也是以09年的方案为基础设定的。价格调整主要有三大变化,第一就是运价结构

的调整,涉及两个方案。目前南京的出租车起步价为9元,另外加收两元的燃油附加费共十一元,调整方案中把出租车的起步价

调整为十元,多出的一元钱,方案一是取消燃油附加费直接并入每公里的车价中,就是起步价之后,每公里收费从现在的2.4元上调到2.75元,方案二则是保持一块钱燃油附加费不变,同时每公里的车价也维持不变,今后油价下调后,再取消这一块钱燃油附加费。按照这两种方案计算,三公里以内起步价为十元,三公里到七公里,两种方案的价格一致,但七公里以上,就是方案二更便宜了,而实际上,方案二与我们目前的打车收费价格是一样的。

价格调整第二大变化,就是早晚高峰时段实施“双计费”,也就是早上7点到9点和下午的4点到7点之间,出租车时速低于12公里时,按照时间收费,每五分钟加收车公里租价2块4。南京市物价局服务价格处处长王阳:“从行业随机抽选的10辆出租车的双计费模拟测试情况看,交通高峰期实施双计费,对乘客支出影响较小,每次增支0.67元,对驾驶员收入有所提高,每月增收208.2元。”

物价部门同时提出价格调整第三大变化,就是打车距离超过二十公里的,二十公里以上部分每公里价格从现在的2.4元上调50%到3.6元,以调动出租车跑长途的积极性。王阳:“鉴于南京市出租车管理信息服务系统2011年7月到12月营运数据分析显示,20公里以上的业务量仅占到总量的2.39%。且20公里营运里程基本可以涵盖主城区域。”

在听证会上,22名听证代表从各自的角度阐述观点,对南京出租车运价调整、高峰时段双计费方案以及20公里返空费一一过堂。

对于油价-运价调整的两个方案,绝大部分代表都认同方案二,也就是出租车起步价调整至每3公里10块钱,每公里的车价为2块4,1块钱燃油附加费不变。大家赞同的理由基本一致,就是方案二有利于稳定现行价格,不会带来打车费用的

上升。经营者代表东方公司的哥徐有明:“如果公里数提高到2快75,有可能出租车一部分客源会流失。”

针对高峰时段,出租车时速低于12公里以下就要加收一公里的钱,22名代表中除了有一名代表发言跑题没有涉及这方面内容外,其余21人中有19人赞成。消费者代表吕向荣之所以赞同在高峰期双计费,他认为这能体现司机的劳动价值。但专家代表,南京交通规划设计院副院长孙俊从出行的角度给出了理由:“第一,虽然不能解决交通拥堵,但可以优化城市交通结构,第二可以优化出租车的时间空间结构;第三,国内其他城市除了南京其他都实施了双计费。”

不过,大家也提出一些思考,不少参加人都指出,双计费是不可能根本上解决拥堵和打车难问题的,政府应当想方设法解决城市道路建设问题。而孙俊则提出,按目前的标准,方案里的测算有点问题:“报告里提到的找10辆车做了模拟测式,结果显示每次增加0.67元,这个数字不知道从哪里来的,我感觉相差太多了,我毛估估,现在一个乘距5.8公里,红绿灯基本一公里要等1分钟, 5.84公里就是5分钟左右,这样就增收2-3元,因此你在中心区不可能是0.67元。”

最后过堂的超过20公里以上部分加收返空费问题,听证会现场,几乎所有的发言人都对此表示了支持。消费者代表赵莉萍认为,长距离载客司机相当于1对1服务,很可能放空,所以支持20公里以上运价要上调。但也有代表提出是否可以给返空费定个数目或者定个比例。南京地铁公司副总展晓义:“建议采取固定比例30%-50%,建议采用阶梯运价入超过20公里加收30%,超过30公里加收50%。”

听证会开了整整3个小时,南京物价局副局长周奇表示,物价部门将认真整理代表的建议和意见,对采纳情况说明理由,15日内将听证记录上报市政府广州缩短出租车年限听证会将变“听涨会” [导读]广州出租车价格“听涨会”引争议,政府缩短出租车使用年限是涨价的重要原因。 7月18日,广州将

举行出租车调价听证会。“不是调价方案,而是涨价方案,”政协委员、听证代表黄志宁为此下了定义。

广州的出租车涨价方案与其他城市最大的不同在于运价与燃油价格直接挂钩。广州成为出租车调价最激进的城市,其出租车价格也跃居全国之首。由此,引发了消费者、司机、出租车公司的不满。

运价与燃料价联动

虽然此次听证会名为“调价”,但实际上所有人都清楚这是场“听涨会”。广州物价局为听证会准备了两套方案,方案一,起步价为9元/2.3公里,以后气价(液化石油气价格)每变动0.83元/升,出租车起步价相应增加或减少1元;晚上10时至次日6时要加收30%的夜间附加费,另外,拥堵营运(时速低于12公里时)收取营运候时费31元/小时。

方案二,不加收夜间和拥堵附加费,全天一个价,但起步价定为10元/2.5公里,气价每变动0.83元/升,出租车起步价相应变动1元。

两个方案都是涨价方案,都是运价与燃料价格联动。这种机制确立后,不仅出租车价格上涨没有了上限,连带与出租车关系紧密的LPG企业也是有恃无恐,这引发了人们的质疑。

“全国都涨了,为什么广州就不能涨,”一位出租车公司副总表示。从今年4

月以来,包括北京、深圳、上海等国内多个城市都出现了新一轮的出租车价格上涨。北京增加了2元燃油附加费,长春、南宁、深圳等城市也开始征收或增收燃油附加费1~3元不等。6月中旬,上海举行的听证会听证方案一是起租费涨1元,二是超起租里程单价每公里涨0.3元,同时还将对“单设燃油附加费”进行听证。太原虽取消了出租车的燃油附加费,但日间单价由1.1元/公里调至1.6元/公里,夜间由1.3元/公里调至1.8元/公里。

别的城市的涨价方案基本都只是增加燃油附加费,只有广州激进地将运价与燃料价格完全挂钩。“这是国家定下的目标,大势所趋。起码可以基本保障出租车司机的生活。”上述出租车公司副总认为这一机制可以成为其他城市效仿的目标,“一次性解决问题”。

但这个以保障司机生活为名义的联动机制,却没有得到司机的认同。“出租车公司肯定支持这一方案。”广州天湖出租车公司的司机陈致力(化名)认为,出租车公司不用承担日常运营费用,“因为他们每月收的份子钱都是固定的,真正面对市场与乘客的是我们司机。”陈致力表示,他们需要考虑乘客的接受程度,要担心价格上涨过快导致乘客改用其他交通工具,但出租车公司不需要考虑这些。

“如果公司愿意将承包费下调2000元,包括我在内的大部分司机,都愿意在现有基础上,把起步价下调1元。”陈致力表示。

出租车司机吁降“份子钱”

所谓承包费,就是普通民众口中的份子钱。《中国经营报》记者采访了数十名不同公司的的士司机后发现,广州出租车的份子钱基本都集中在9000元至1.3万元间。

陈致力已经开了十几年的出租车,前些年他的车是自己的,把车挂靠在一家出租车公司里,每月只需缴纳几百元的挂靠费。“那时候,只要肯努力工作,每月赚8000元是很平常的事。”陈致力表示。可是,当他上一台车报废后,就不得不承包了一台车,过着每月交份子钱的日子。每月上交一万元的承包费以后,即使再努力,收入也只能在4000元左右。

“没办法,几年前,广州市出了新规定,不允许挂靠”,出租车运营权被收了上去。

物价部门公布的广州市区出租车公司承包费基准价显示,根据出租车公司等级不同,一类企业、二类企业、三类企业(及未评定等级类别企业)每车每月的承包费基准价分别为7850元、7650元和7450元。

但为何广州出租车司机的负担普遍在万元以上,广州市出租车行业协会负责人表示,在实际操作中,除了上述基准价外,还包括由公司代收代缴的费用,比如社保、公积金等。为了规避新工资法,有些出租车公司还会要求司机先上缴1300元的最低工资,而后再以企业的名义下发给司机。在把这些费用相加后,出租车公司每月收取的“份子钱”理论上可达到1.3万元。

上述行业协会负责人告诉记者,从2010年1月1日起,的士司机每月上缴承包费由原来的“等额法”改为“递减法”。即车辆按运营时间,每年承包费月缴额减少500元/车。以一类企业为例,在5年的出租车营运期限内,一辆出租车的承包费基准价标准为:第一年每月8850元,第二年每月8350元,依次类推。“递减法”是考虑运营过程中车况逐年下降使得车辆维护成本逐年上升。

出租车公司的猫腻

“其实我们没赚什么钱。”接受记者采访的出租车公司负责人表示,出租公司每月每台车就只能赚2000元,其余或者是上缴有关部门,或者冲抵成本。

在举行听证会前,广州物价局公布了一份《2007-2009年广州市出租车月均单车运营成本表》,其中明码标明的13项收费标准中,总计4134元,另外再加上两项共计2016.19元的企业管理费分摊和财务费分摊。按此计算,每月7850元的基准承包费真正形成出租车利润的不过1644.26元。

但在陈致力眼中,这里有着很多的猫腻。他认为首先是采取“递减法”征收的承包费就非常不合理。“名义上出租车可以运营8年,但实际操作中,广州80%的车子开4年就已经报废,有些甚至只开3年。”陈致力表示,在这种情况下所谓的“递减法”实际上是变相加价。

记者在仔细查阅上述总计4134元的13项收费中,第六项的名目为“提供达到正常营运条件的车辆及附属设施”,这一项包含车辆折旧1743.97元。

换算下来,出租车每年的折旧费就接近2.1万元,以一台8万元的车来计算,出租车的折旧期仅为4年。知情人士告诉记者,早在2006年广州为了做好亚运门面工程,就出台了一条规定:“出租汽车行驶里程达50万公里必须报废”,这实际上就限定了出租车在三四年内必须报废。据了解,广州最大的出租车公司广骏就规定,出租车3年或者3年半就要报废。“这可以让广州出租车更新、更安全,更符合国际大都市的形象。”广州市交通部门在接受记者采访时表示。

中山大学财税系教授杨卫华指出,由于国家对出租车使用年限的规定为8年,因此各出租车公司更换新车后,会打包整批旧车或者单辆再出售。业内人士告诉记者,这些旧车通常可以卖到2万至2.5万元。这又成为出租车公司收入的一个灰色地带。

“承包费还有很大的下调空间。”广州市政协委员韩志鹏表示,出租车行业本来就是一种公用事业,政府应当加大投入的力度,对一些税费,能减免的应该减免,足以改善出租车司机的运营环境。

早在2006年广州为了做好亚运门面工程,就出台了一条规定:“出租汽车行驶里程达50万公里必须报废”,这实际上就限定了出租车在三四年内必须报废。

出租车计价器课程设计报告

昆明理工大学信息工程与自动化学院学生实验报告 (2012 —2013 学年第 2 学期) 课程名称:可编程逻辑器件设计开课实验室:信自楼 2013 年4月 11日

一、引言 汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。我们设计采用8086为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,输出采用8段数码显示管。 二、总体设计方案 利用8253作为定时/计数器,控制电机转速并测量电机的转数;8255作为输入输出接口,控制数码管显示启动后经过的里程数(小数点后取2位有效值)。 硬件连接说明: 直流电机控制器的基础上,增加了一个电机测速电路构成。 8255的B口作为数码管的笔划码驱动;C口的低4位作为段码控制,显示实际里程,同时,也用于输出键盘的列扫描码;PC6、PC7输入行扫描码,用于识别按键,程序中仅使用了PC6行上的四个按键,其定义如下: X1:启动/停止键;X2:加速键,与X3、X4键组合使用;X3:“+”键;X4:“-”键。 A口仅使用了PA0,输出PWM脉冲信号,通过驱动芯片驱动电机,其输出电压的高低与PWM脉冲的占空比成正比。 电机的转数,通过霍尔元件变换为脉冲个数,送入8253的通道2,计数后,将转数转换为里程数,最小单位为0.01公里,送CPU记录,结果由数码管显示出来。

基于数电设计出租车里程计价器

电子技术课程设计报告题目:出租车里程计价器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月

出租车计价器课程设计 机械与电气工程学院自动化 1设计的任务与要求 1.1课程设计任务 (1)能够实现计程功能 (2)实现计费功能,计费标准为:按行驶里程收费,起步费为6.0元,并在车行3公里后再按2.0 元/公里,最高计费为99.9元,车停止不计费,能将车费显示出来。 1.2初始参数和要求 (1)74LS160计数器的简介 74LS160 是一个具有异步清零、同步置数、可以保持状态不变的十进制上升沿计数器,共有54/74160 和54/74LS160 两种线路结构型式。 (2)74LS85比较器的简介 74LS85比较器是一个4位数值比较器,它是由高位开始比较,逐位进行。若最高位已比较出大小,则以后各位大小都对比较结果没有影响;如果最高位相等,则比较次高位;同理,次高位已比较出大小,则以后各位大小对结果没有影响。如果4位比较都相等,则再看级联信号输入。级联输入信号是由低位比较器的输出而来。 (3)74LS283加法器的简介 74LS加法器是4为超前进位加法器,能够实现两个数值信号的相加。 (4)初始要求 电路能够实现初始设定,起步费为6.0元,并在车行3公里后再按2.0 元/公里计算,车停止不计费,能将路程及车费显示出来。 2出租车里程计价器设计方案制定 2.1系统工作原理 本设计方案系统的总体工作原理如图1所示。按行驶里程收费,起步费为6.0元,并在车行3公里后再按2.0 元/公里,最高计费为99.9元,车停止不计费,将路程及车费显示出来。

课程设计-出租车自动计费器设计

新疆大学 课程设计报告 所属院系:电气工程学院 专业:电气工程及其自动化课程名称:电子技术基础B 设计题目:出租车自动计费器班级:电气#####班 学生姓名:要要 学生学号:@@@@@@ 指导老师: 常翠宁刘兵完成日期:2013. 1. 4

出租车自动计费器 一、总体方案的选择 1.拟定系统方案框图: 方案一: 汽车在行驶时,里程传感器将里程数转换成与之成正比的脉冲个数,然后由计数译码电路变成收费金额。里程传感器由磁铁和干簧管组成,磁铁置于变速器涡轮上,每行驶100米,磁铁与干簧管重合一次,即输出一个脉冲信号,则10个脉冲/公里(设为P3)。里程单价(设2.1元/公里)可由两位(B2=2、B1=1)BCD拨码开关设置,经比例乘法器(如J 690)后将里程计费变换成脉冲数P1=P3(1B2+0.1B1)。由于P3=10,则P1为21个脉冲,即脉冲当量为0.1元/脉冲。 同理,等车计费也可以转换成脉冲当量,这需要由脉冲发生器产生10个脉冲/10分钟(设为P4),如果等车单价为0.6元/10分钟(置B4=0、B3=6),经比例乘法器后将等车计费变换成脉冲数P2=P4(0B4+0.1B3)。由于P4=10,则P2为6个脉冲,即得到相同的脉冲当量为0.1元/脉冲。同理,起步价(设3元)也可以转换成脉冲数(P0= 单价/当量=5/0.1=50个脉冲)或者将P0作为计数器的预置信号(框图所示)。最后行车费用转换成脉冲总数P=P0+P1+P2,其结果用译码显示器显示。 图1:出租车自动计费器方案一框图

脉冲,行程里程及起步电路则是60毫秒/脉冲。而等候电路为6秒/脉冲。实现此电路我用555多谐振荡器构成。为了减少实验测量时间,我把脉冲周期都缩小了1000倍,即0.06毫秒/脉冲和6毫秒/脉冲。设计电路图及仿真波形图如下: 图3:0.06毫秒555多谐振荡脉冲电路 图4:0.06毫秒脉冲波形图

数字电路出租车计价器设计

时序逻辑电路课程设计 引言: 我们组选择了题目一,设计出租车计价器,通过对课本、资料的查阅,再经过构思,设计,搭建电路,仿真,得出了结果,虽然不够完美,但是也掌握了一些知识,增加了对各种原件的印象。下文将详细给出设计。 一、资料查阅 通过参考数字电路课本,以及数字电路实验书,再经过网络查阅,也参考了网上有的类似的设计,想出了电路的基本思路。 二、对于要求的实现 要求1:根据出租车上的速度传感器传来的脉冲个数和设置的里程单价来计算对应的总价格,并将总价格通过LED实时显示。 对于此要求的实现,通过查阅,我们发现了74LS160十位计数器,有预制与清零功能,能进行0-9重复计数。功能表如下 要求2:起步价可以设置:。 由于74LS160有置数功能,所以可以置数,能够要求其从一个确定的数开始计数,所以可以设置起步价。对于从要过了起步价里程才开始计数,因为每一个单位里程是一次脉冲,而芯片又是每一次脉冲才记一次数,所以应用触发器的存储功能来使前几次脉冲无法传至芯片。 要求3:里程单价可以设置。 运用比例乘法器,可以实现脉冲的改变。比如用CC4527比例乘法器,输入一个BCD 数,其输出的脉冲为输入脉冲的BCD的十分之一倍。比如速度传感器的每个脉冲为1km,单价为2元,那么就输入20的BCD数,那么比例乘法器就会输出一个2倍速度传感器脉冲的脉冲信号,以此脉冲作为后面电路的时钟信号,可以实现单价2元。其他单价以此类推,但是由于仿真软件中没有找到比例乘法器,所以仿真中没有单价设置这一项。 要求4:可以对总价格进行复位,从而为下次计费做好准备。

运用74LS160的置数功能,重新对其进行置数即可实现下次重新开始计费。 三、具体电路 时序逻辑:左下角U1为里程脉冲发生器,经过N个D锁存器的延时,才可以传至上面的74LS160芯片,芯片开始计数,显示在右上方的两位显示器上,即计程车的价钱。电路中有两个单刀单置开关,上面的S1和下面的S2。S1的作用为每次重新搭载乘客后的清零和重新显示起步价,S2的功能为开启里程传感器,为计程车进行计价。下面对电路各个部分进行解释: 1.计数部分: 用N块74LS160芯片,即可显示N位十进制数,本次仿真以2位为例,也就是只可以显示0-99元的价格。上图七段显示管U5为十位,U3为个位。如图通过开关S5进行起步价设置控制,芯片有CR和LD引脚,CR为1,LD为0时可以进行置数,入上图,设置起步价为5元。由于当有脉冲时候,才会执行置数功能,而又不能和里程传感器用同一个时钟信号,所以单独设置了一个信号源,通过S1开关,既控制LD电位,又通过与门或门非门作为选择开关控制U16信号源的信号是否输入芯片。当开关断开,LD电位为0,U16信号导通到U2芯片,执行置数功能。当S1开关闭合,CR和LD电位都为1,以里程脉冲作为时钟信号执行计数功能,从预制的数字开始计数。

出租车计价器的软件设计

出租车计价器的软件设计 孙秀娥 叶哲江 戚 勇 (昆明理工大学信息与自动化学院,云南昆明650051) 摘 要:出租车计价器是单片机的一种典型应用。基于单片机的计价器是由单片机和外部电路组成。利用A T89C51的全双工串行口进行通信,其外接显示电路由74L S614和共阳极数码管构成,实现了扩展并行I/O接口的功能,可以实现单价,起步价,返程价,郊区价,夜间价,低速价,显示和修改时间。 关键词:出租车计价器;A T89C51;74L S164;串行输入/并行输出 中图分类号:TP273.5 文献标识码:A 0 引言 当今,随着科学技术的发展,计算机技术带来了科研和生产的许多重大的飞跃,特别是单片微型计算机的应用已经 ,有力的推动了社会的发展。单片微机以其体积小,集成度高,价格便宜,在数据处理、实时控制等方面有着无与伦比的强大功能。其性能不断提高,应用范围愈来愈广,在计算机应用领域日益占重要地位。出租车计价器就是单片微型计算机的一个典型的应用。1 系统综述 单片微机广泛的应用于各种中小型的控制系统中。本文所阐述的基于单片微机的出租车计价系统,利用了A T89C51单片机的串行口进行通信控制,充分显示了单片微机在串行通信中的应用。该计价系统可以实现的基本功能如下: 1)可以显示单价,每次旅程的金额,里程和时间。 2)时间显示电路显示并可以修改校正时钟的时、分、小时采用二十四小时制。 3)可以显示起步价格,郊区价格,返程价格,夜间价格,低速价格等。 系统在没有上电复位时,通过软件作灭零处理,显示单价和车资的数码管处于熄灭状态,只有显示时间的四个数码管工作;系统在上电复位以后,立即进行自检,检测各部分电路是否工作正常,按下键后进入正常工作状态。显示单价,时间和起步价。在现实的出租车计价器中是通过安装在驱动轮上的传感器将车轮的转速转换成脉冲信号送到计价器中单片机的T0口进行计数。 2 系统的硬件设计 本文的出租车计价系统的硬件结构主要是由以下几个部分组成的:1)A T89C51单片机系统;2)车轮转速信号采集系统;3)显示电路。 2.1 A T89C51单片机系统 在这个系统中使用了A T89C51单片机的P1.0,P1.1, P1.2以及外部中断IN T0.要实现起步价,返程价格,郊区价格,夜间价格,低速价格,等待等功能,单靠这几个按键是不够用的,需要定义一键多功能来扩充键盘的功能。计价系统在上电复位后通过软件自动进入出示状态,显示时间,单价和起步价,按下P1.2键以后进入时间修改状态,再按下P1.0键进入修改时间选位状态,按下P1.1键以后就可以实现加1功能,可以对要修改的位置进行操作。同时再按下P1.2键就可以返回正常的时间状态。P1.0口除了选位功能以外,同时也可以实现返程价格功能和显示每一次的车程。P1.1口除了加1功能外,可以实现郊区价格功能,同时在工作了一天后,按下P1.1键以后可以显示这一天汽车行驶的总里程以及总收入。P1.2键除了上述功能外,在每次载客完毕后,按下P1.2就可以返回初始状态.这里的初始状态有两种,一种是每次载客完后显示单价,时间和起步价,另外一种是一天工作结束后,进行自检后再显示.P3.2口作用是模拟外部脉冲信号输入,同时还用作判断车行驶状态:正常运行状态,低速运行状态,等待状态等。 2.2 车轮转速信号采集系统 传感器是一种变换装置,能够感知和检测某一形态的信息,并将其转换成另外一种信息。其中车速传感器用的就是霍尔传感器,图1是传感器测控系统的框图 。 图1 车速传感器测控系统框图 2.3 显示电路 该计价系统的显示电路采用静态显示,由10片串行出入/8位并行输出移位寄存器芯片74L S164,10个共阳极数码管以及80个120欧姆的限流电阻组成。74L S164是8位串行输入/并行输出移位寄存器,特征是:1)门控制串行输入,2)全缓冲时钟脉冲和串行输入,3)异步方式,4)典型34MHz时钟频率,5)典型80毫瓦电源功率消耗。Vcc是电源端,A,B是串行输入端,QA2QH是8个并行输出端,CL K 山西电子技术 2007年第4期 应用实践 收稿日期:2006-12-28 第一作者 孙秀娥 女 25岁 硕士研究生

单片机课程设计 出租车计价器

摘要 出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计的是一个基于单片机AT89S52的出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性。 在上电时LED数码管显示最初的起步价,里程收费,等待时间收费三种收费。按暂停键,计价器可暂停计价,按查询键,在LED数码管上可以显示运行时等待的时间。通过计算可以得出总共的费用和总的路程。在这里主要是以AT89S52 单片机为核心控制器,P0口、P2 口接两片四合一数码管,P1口接按键,通过按键输入。 关键词:单片机 AT89S52;LED数码管;出租车计费器;

目录 1 概述 (1) 1.1 课题简介 (1) 1.2 功能要求 (1) 2 系统总体方案及硬件设计 (2) 2.1 系统工作原理及总体方案 (2) 2.2 单片机最小系统单元 (3) 2.3 霍尔传感器检测单元 (3) 2.4 键盘调整单元 (5) 2.5 显示单元 (5) 3 软件设计 (7) 3.1系统主程序 (7) 3.2 按键扫描程序 (8) 3.3 中断程序 (9) 3.4 计算程序 (10) 3.5 显示程序 (10) 4 实验仿真 (12) 4.1 Proteus介绍 (12) 4.2 调试与测试 (12) 4.3 里程计价测试 (12) 5 课程设计体会 (14) 参考文献 (15) 附1:系统原理图 (16) 附2:源程序代码 (16)

出租车计价器 课程设计报告

软件学院 课程设计报告 课程 题目出租车计价器 班级集成13-4 专业集成电路设计与集成系统 学生学号 指导教师(填写自己班的指导教师) 年月日 1.课程设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的组合逻

辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 2.课程设计题目描述和要求 2.1.课程设计题目描述 ①.实现计费功能,计费标准为:按行驶里程计费,起步价为7.0元,并在车行3km 后按2.2元/km 计费,当计费器达到或超过20元时,每公里加收50%的车费,车停止不计费。 ②.现场模拟功能:以开关或按键模拟公里计数,能模拟汽车起动、停止、暂停等状态。 ③.将车费和路程显示出来,各有一位小数。 2.2.总体设计思路框架 2.3.课程设计题目要求 ①.设计正确,方案合理。 ②.程序精炼,结构清晰。 ③.设计报告含程序设计说明,用户使用说明,源程序清单及程序框图。 ④.上机演示。 ⑤.有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 3.设计思想和设计内容 3.1 出租车计费设计

该出租车计费器按下开关S1后开始计费和计里程数,起步价是7元,行驶3公里,以后2.2元/公里。并且设计选择模块,在车费超过20元每公里加收50%车费即3.3元/公里。 3.2 基本设计思想 出租车计费器根据设计要求可初步分为3方面——显示、记里程数、记费。之后再根据三方面分别设计模块。1.显示模块。一般计数器显示数字为1-F即16进制,而16进制不方便观看,所以在设计这一模块时我们将16进制改为10进制输出进而设计了译码模块。2、里程模块。设计要求对里程计数主要分为两个方面,计数以及公里数比较。即3公里之内收7元,3公里之后20元(通过计算为9公里)以内每公里2.2元,9公里以外为每公里3.3元。所以,我们将里程模块分为里程计数模块以为比较模块。3.计费模块。计费模块同里程比较模块所以将两个模块合二为一,为价格计算模块。 4.Verilog代码 4.1顶层模块 module taxi( clk,stop,rst_n, time1,time2,time3,time4, seg1,seg2,seg3,seg4 ); input clk; input stop; input rst_n; output [6:0]time1; output [6:0]time2; output [6:0]time3; output [6:0]time4;

出租车计价器系统完整版

智能电子产品设计与制作 课程设计(论文) 题目: 《出租车计价器系统设计》 学院:电气与电子信息工程学院 专业名称: 学号: 学生姓名: 同组成员: 指导教师: 课设时间:2011年5月23日—2011年6月10日 目录

一.设计目的 (2) 二.设计要求 (2) 三.系统结构 (2) 四.功能模块设计 (3) 五.软件设计 (5) 六.电路组装与调试 (6) 七.电路仿真 (7) 八.总结 (8) 八.附录 (9)

出租车计价器课程设计 一、设计目的 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计采用AT89S52单片机为主控器,以红外对管测转速,对实际里程的模拟,实现对出租车的多功能的计价设计,并采用AT24C01实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管。本电路设计的计价器不但能实现基本的计价,而且还能根据白天,黑夜和中途等待来调节单价。 二、设计要求 出租车计价器根据乘客乘坐汽车行驶距离和等候时间的多少进行计价,并在行程中同步显示车费值。从起步价开始,当汽车程行驶未满3公里时,均按起步价计算。过3公里后,实现每1公里单价收费,中间遇暂停时,计程数不再增加,开始计时收费,测距收费和测时收费的和便构成了一位乘客的车费。同时,白天和夜晚价格不同,可以进行切换。白天单价、夜晚单价、等待单价和起步价格都可通过独立键盘进行调节。(默认起步价为5元/3公里,里程单价白天为1.5元/公里,夜晚为1.8元/公里,等待计时单价为0.5元/5分钟) 三、系统结构 根据设计的要求选择实验的方案:采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。设计采用AT89S51单片机为主控器,以红外对管和电机测转速(按键替代),实现对出租车的基本的计价设计,并采用AT24C02实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管,相对液晶显示价格便宜,利用单片机丰富的I/O端口,及其控制的灵活性,实现基本的计价功能。 器系统结构图如下: 四、功能模块 1、单片机模块

2010_出租车计价器解析

一、方案设计 1.1 功能要求 1. 用前4位数码管实时显示里程数(Z),单位为公里,最后一位为小数位;用后4位数码管时时显示金额数(J),单位为元,最后一位为小数位。 2. 规定出租车单程价格为2元/公里,往返则价格为1.5元/公里;单程/往返分别由“单程”按键和“往返”按键设定。 3. 车速<5公里/小时的时间累积为总等待时间T(分钟),每5分钟等待时间相当于里程数增加1公里。 4. 起步公里数为3公里,价格为8元;若实际运行大于3公里,按“设计任务2”计算价格。 5. 必须充分利用比赛指定的硬件平台上的资源进行设计。 6. 用单片机控制电机转动,并用光电传感器检测转盘转动模拟车速,车速与转盘转速成正比。转盘转速为5转/秒对应车速为5公里/小时,转盘转速为50转/秒对应车速为50公里/小时,依此类推。 7. 要求公里数检测误差不超过±10%。 8. 到达目的地后,按“暂停”键,计价器可暂停计价。 9. 按“清除”键,计价器能将记录数据(里程、等待时间与价格等)自动清0。 10.按“查询”键,能自动显示总等待时间T,再按下该键回到显示里程数、金额状态。 1.2系统工作原理及其组成 出租车计价是根据车所行驶的路程以及乘客乘车的方式综合决定的。出租车行驶总路程可以通过车轮的周长乘车轮旋转圈数得到。即可计算得到车轮旋转几周出租车能行驶一公里的路程。因此,使用槽型光耦的目的就是方便地计量车轮旋转的圈数。可在车轮转轴涂上白色条纹,其余部分涂成黑色,发光管照射转轴。当转轴转动时,反光与不反光交替出现,所以槽型光耦间断地接收光的反射信号,

输出电脉冲。再经过整形电路,输出标准TTL信号。输出的脉冲信号被接入到STC89C52单片机系统中,通过计算接收到的脉冲个数,计算出当前所行驶的路程。同时,根据不同的收费标准,选择相应的起步价、单价等收费标准进行计算。HD 7279管理键盘和数码显示,通过键盘能够实现启动、暂停、停止计价器、切换显示当前的行驶里程和需支付的车费。 出租车计价器用于记录里程、等待时间、是否往返、起步公里数与价格的关系,它能有效地避免司机与乘客间的矛盾,保障双方的利益。 模拟出租车计价器能根据总里程数、总等待时间长短、是否往返、起步公里数的情况作出相应报价等。当然实际的出租车计价器还具有能打印出车票等功能。总体模块如图1.2所示。 图1.2 电路的组成部分

出租车里程计价器

1 设计任务描述 1.1设计题目:出租车里程计价器 1.2 设计要求 1.2.1 设计目的 (1) 掌握出租车里程计价器的构成,原理和设计原理; (2)熟悉集成电路的使用方法。 1.2.2 基本要求 (1) 设计秒信号脉冲产生器; (2) 行驶里程信号用传感器产生,出租车起价费为3公里8元,此后为每550米加收1元; (3) 等候时间为10分钟计价器加收1元,等候时间信号由时间产生; (4) 计价表的计数、寄存、译码显示系统;里程数的计数、寄存、译码显示系统。 1.2.3 发挥部分 (1) 白天、晚上的转换,晚上为3公里9元,此后每500米加收一元; (2) 空调使用时,为3公里9元,此后每500米加收一元。

2 设计思路 根据此次课程设计的要求,我设计的出租车里程计价器基本电路由四个部分组成,其中振荡器和分频器组成标准的秒信号发生器,由不同进制的计数器、译码器和显示器组成整体的电路系统。秒信号送入计数器进行计数,把累计的结果以“秒”的六百进制数字显示出来,构成等待计时部分。 一、等待计时: (1)震荡电路设计:可采用由集成电路定时器555与RC组成的多谐震荡器。选用555定时器构成多谐振荡器,振荡器的频率为1000赫兹。(2)分频电路设计:采用三片74LS90级联,每片10分频,最终得到1HZ的方波信号供秒计数器进行计数。(3) 秒信号送入计数器进行计数,把累计的结果以“秒”的六百进制数字显示出来。以上三部分构成等待计时电路。 二、公里计数: (1)传感器送来米信号脉冲,由计数器计数。(2)三公里比较电路设计:千位计数器输出送给数值比较器,进行比较,当大于或等于三时,数值比较器输出有效信号,送给价钱计数系统。(3)由显示译码器和显示器构成公里显示。以上三部分构成公里计数系统。 三、价钱计数: (1)由数值比较器送来有效脉冲,使三位550进制的计数器开始计数。(2)由两片计数器组成,低位计数器预置为8,前三位计数器计满向此进位,计数器加1。(3)由显示译码器和译码器构成价钱显示。以上三部分构成价钱计数系统。 四、夜晚/空调计价: (此部分为发挥部分) 由开关控制,开关闭合时此系统开始工作。(1)由数值比较器送来有效脉冲,使三位500进制的计数器开始计数。(2)由两片计数器组成,低位计数器预置为9,前三位计数器计满向此进位,计数器加1。(3)由显示译码器和译码器构成价钱显示。

出租车计价器设计与实现

沈阳航空航天大学 课程设计报告 课程设计名称:微机系统综合课程设计 课程设计题目: 出租车计价器的设计与实现 院(系): 计算机学院 专 业 : 计算机科学与技术 班 级: 24010104 学 号: 2012040101037 姓 名: 程里 指导教师: 罗振 说明:结论(优秀、良好、中等、及格、不及格)作为相关教环节考核必要依据;格式不符合要 求;数据不实 ,不予通过。报告和电子数据必须作为实验现象重复的关键依据。

学术诚信声明 本人声明:所呈交的报告(含电子版及数据文件)是我个人在导师指导下独立进行设计工作及取得的研究结果。尽我所知,除了文中特别加以标注或致谢中所罗列的内容以外,报告中不包含其他人己经发表或撰写过的研究结果,也不包含其它教育机构使用过的材料。与我一同工作的同学对本研究所做的任何贡献均己在报告中做了明确的说明并表示了谢意。报告资料及实验数据若有不实之处,本人愿意接受本教学环节“不及格”和“重修或重做”的评分结论并承担相关一切后果。 本人签名: 日期:年月

沈阳航空航天大学课程设计任务书

课程设计总结:

目录 1 引言 0 1.1出租车计价器概述 0 1.2计价器整体功能描述结构 0 1.3各部分电路功能描述 (1) 2计价器硬件设计 (1) 2.1系统的硬件构成及功能 (1) 2.2MCS-51系列单片机内部结构及功能部件 (3) 2.2.1MCS-51系列单片机的内部结构框图 (3) 2.2.2单片机外部引脚说明 (4) 2.2.3并行输入/输出接口 (6) 3系统的软件设计 (6) 3.1软件总体设计 (6) 3.2系统主程序设计 (8) 3.3显示子程序服务程序 (9) 3.4按键服务程序 (9) 4系统调试与测试结果分析 (10) 4.1系统调试 (10) 4.1.1硬件调试 (10) 4.1.2软件调试 (10) 4.1.3软硬件联调 (10) 4.2 电路图 (11) 参考文献 (10) 附录(关键部分程序清单) (13)

出租车计价器收费方法的算法设

《出租车计价器收费方法的算法设计》 教学设计 一、教学设计说明 [现状分析] 算法作为信息科技课程教学内容,旨在培养和提高学生的逻辑思维能力,以及用计算机去分析问题、解决问题的能力。然而算法的相关概念比较枯燥,理论过于抽象,对学生的能力要求较高,所以在教学过程中往往难以把握,也不容易引发学生的兴趣。因此需要教师在教学设计和课堂教学中,运用各种手段,使教学内容生动起来,活起来。 [关于教学目标] 在知识目标方面,通过对出租车计价器收费方法的算法设计,使学生理解分支结构解决问题的基本思想,能用分支结构算法来解决实际问题。 在能力目标方面,通过对出租车计价器收费方法的算法设计,培养和提高学生逻辑思维能力以及培养学生在算法研究中的自学探究能力和解决具体问题的能力。 在情感目标方面,通过对出租车计价器收费方法的算法设计,激发学生兴趣,提高学生学习的主动性和积极性。让学生知道算法设计在现实生活中的重要性和程序设计的实用性。同时也倡导同学间的相互研究

讨论的风气,逐步养成合作学习的好风气,取长补短、共同提高。[关于教学设计] 中小学信息科技课程既承担着让中小学生了解、熟悉、掌握信息科技的基础知识和基本操作技能的任务,又承担着通过学习,学会利用信息技术发展创造性思维,培养解决真实、开放问题能力的任务。 因此以项目式学习的方法来展开教学,学生以小组为单位进行选择,开展项目式学习。以生活中的实际情况为例,激发学生的学习热情与兴趣。 整个教学过程如下: 1、引入问题 2、布置任务 3、建立数学模型 4、确定算法画出流程图: 5、编写应用程序 6、进行作品展示 7、活动反思 [关于教学策略]

出租汽车里程计价表

电子技术课程设计任务书

电子技术课程设计任务书 2.对课程设计成果的要求〔包括图表、实物等硬件要求〕:设计电路,安装调试或仿真,分析实验结果,并写出设计说明书,语言流畅简洁,文字不得少于3500字。要求图纸布局合理,符合工程要求,使用Protel软件绘出原理图(SCH)和印制电路板(PCB),器件选择要有计算依据。 3.主要参考文献: [1]谢自美。电子线路设计、实验、测试[M]华中理工大学,2001 [2] 彭介华. 电子技术课程设计指导[M]. 北京:高等教育出版社,1997 [3] 毕满清. 电子技术实验与课程设计[M]. 北京:机械工业出版社,1995 [4] 陈明义. 电工电子技术课程设计指导[M]. 长沙:中南大学出版社,2002 [5] 陈永甫. 新编555集成电路应用800例[M]. 北京:电子工业出版社2000 4.课程设计工作进度计划: 序号起止日期工作内容 1 2011-1-3 布置任务,教师讲解设计方法及要求 2 2011-1-4 学生查找阅读资料,并确定方案 3 2011-1-5 学生设计小组会议,讨论方案 4 2011-1-6~11 设计、仿真实验 5 2010-1-12~13 写说明书,小组讨论 6 2010-1-14 答辩 指导教师苏泽光日期: 2010 年 12 月日

目录 引言 (1) 1 系统设计 (2) 1.1设计内容 (2) 1.2设计目的 (2) 1 3设计要求 (2) 1.4设计思路 (2) 1.5设计框图 (2) 1.6设计所需的元件 (3) 2.工作原理 (3) 2.1总体构想 (3) 2.2 AT89S51单片机及其引脚说明 (3) 2.3 AT24C02引脚图及其引脚功能、掉电存储单元设计 (5) 2.4里程计算、计价单元的设计 (6) 2.5 按键单元的设计 (8) 2.6语音功能的设计 (8) 3.系统主程序设计 (8) 3.2 定时中断服务程序设计 (9) 3.3 里程计数中断服务程序设计 (9) 3.4 中途等待中断服务程序设计 (9) 3.5 显示子程序服务程序设计 (9) 3.6 键盘服务程序设计 (9) 4.系统测试 (11) 4.1 测试使用的仪器或软件 (11) 4.2 出租汽车里程计价表VHDL程序 (11) 4.2.1模块设计 (11)

出租车自动计费器设计(课程设计报告模板)

目录 1 绪论 (1) 1.1设计背景 (1) 1.2QUARTUS II简介 (1) 1.3VHDL语言基础 (2) 2 出租车计费器总体设计结构 (2) 2.1系统设计要求和目的 (2) 2.2.1 系统设计要求 (2) 2.2.1 系统设计目的 (2) 2.2设计思路 (3) 2.3系统总体结构 (3) 2.4出租车计费器系统工作流程图 (4) 3 出租车计费器的实现 (5) 3.1出租车计费器的顶层原理图 (5) 3.2系统各功能模块的实现 (5) 3.2.1 计费模块JIFEI (5) 3.2.2 计量模块JILIANG (6) 3.2.3 显示控制模块SELTIME (7) 3.2.4 显示模块DELED (7) 4 出租车计费器系统仿真及分析 (8) 4.1计费系统的仿真 (8) 4.2单元模块的仿真及分析 (10) 4.2.1 译码显示模块的仿真及分析 (10) 4.2.2 显示控制模块的仿真及分析 (12) 4.2.3 计量模块的仿真及分析 (13) 4.2.4 计费模块的仿真及分析 (13) 5 锁定管脚及硬件实现 (14) 5.1锁定管脚图 (14) 5.2硬件实现 (14) 5.2.1 显示结果的几种情况 (15) 5.2.2 硬件实现总结 (16) 6 设计体会与总结 (17) 参考文献 (18) 附录 (19) 1JILIANG模块的VHDL编程 (19) 2JIFEI模块的VHDL编程 (21) 3SELTIME控制模块的VHDL编程 (22) 4DELED模块的VHDL编程 (23)

1 绪论 1.1 设计背景 随着我国社会经济的全面发展,各大中小城市的出租车营运事业发展迅速,出租车已经成为人们日常出行选择较为普通的交通工具。于是,出租车行业以低价高质的服务给人们带来了出行的享受。但是总存在着买卖纠纷困扰着行业的发展。而在出租车行业中解决这一矛盾的最好方法就是改良计价器。汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具,它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是具有一定意义的。出租车计费器是出租车营运收费的专用智能化仪表,是出租车市场规范化,标准化以及减少司机与乘客之间发生纠纷的重要设备。一种功能完备,简单易用,计量准确的出租车计费器是加强出租车行业管理,提高服务质量的必需品。本设计就是采用VHDL硬件描述语言作为设计手段,采用自己的设计思路,得到一种出租车计价系统的软件结构,通过Quartus II 6.0软件下进行仿真,证明所设计的电路系统完成了出租车计价的功能,各项指标符合设计要求,具有一定的实用性。 1.2 QUARTUS II简介 QUARTUS II 是Altera公司的综合性CPLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整CPLD设计流程。QUARTUS II 支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。Altera QUARTUS II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

C语言出租车计价器课程设计

出租车计价器课程设计目录 前言 1、系统工作原理 1.1 功能说明 1.2 基本原理 2、硬件设计 2.1 单片机最小系统单元 2.2 A44E霍尔传感器检测单元 2.3 AT24C01存储单元 2.4 键盘调整单元 2.5 显示单元 3、软件设计 3.1 系统主程序 3.2 中断程序 3.2.1 里程计数中断程序 3.2.2 中途等待中断程序

3.3 计算程序 3.4 显示程序 3.5 键盘程序 4、总结 参考文献 附录A 系统原理图 附录B 系统源程序 前言 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计采用AT89S52单片机为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,并采用AT24C01实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管。本电路设计的计价器不但能实现基本的计价,而且还能根据白天,黑夜和中途等待来调节单价。 第一章系统工作原理

1.1 功能说明 出租车计价器根据乘客乘坐汽车行驶距离和等候时间的多少进行计价,并在行程中同步显示车费值。从起步价开始,当汽车程行驶未满3公里时,均按起步价计算。过3公里后,实现每1公里单价收费,中间遇暂停时,计程数不再增加,开始计时收费,测距收费和测时收费的和便构成了一位乘客的车费。同时,白天和夜晚价格不同,可以进行切换。白天单价、夜晚单价、等待单价和起步价格都可通过独立键盘进行调节。(默认起步价为5元/3公里,里程单价白天为1.5元/公里,夜晚为1.8元/公里,等待计时单价为0.5元/5分钟) 1.2 基本原理 计数器系统主要由五部分组成:A44E霍尔传感器、AT89S52单片机、独立键盘、EEPROM AT24C01和显示数码管。 霍尔传感器安装在车轮上,主要检测汽车行进的公里数,并产生一系列相应的脉冲输出,脉冲送到单片机进行处理,单片机根据程序设定通过计算脉冲数换算出行驶公里数,再根据从EEPROM中读取的价格等相关数据进行金额的计算,计算好的金额、里程和单价都实时地显示在数码管上。独立键盘可以调节价格等相关数据,按下相应的按钮,产生信号交由单片机处理并实时显示出来,调节好的数据存储到EEPROM中,掉电后可以使调好的数据不丢失,下次得电后直接从EEPROM读到单片机,系统结构图如图1。

出租汽车里程计价表

出租汽车里程计价表

电子技术课程设计任务书 2.对课程设计成果的要求〔包括图表、实物等硬件要求〕: 设计电路,安装调试或仿真,分析实验结果,并写出设计讲明书,语言流畅简洁,文字不得少于3500字。要求图纸布局合理,符合工程要求,使用Protel软件绘出原理图(SCH)和印制电路板(PCB),器件选择要有运算依据。 3.要紧参考文献: [1]谢自美。电子线路设计、实验、测试[M]华中理工大学,2001 [2] 彭介华. 电子技术课程设计指导[M]. 北京:高等教育出版社,1997 [3] 毕满清. 电子技术实验与课程设计[M]. 北京:机械工业出版社,1995 [4] 陈明义. 电工电子技术课程设计指导[M]. 长沙:中南大学出版社,2002 [5] 陈永甫. 新编555集成电路应用800例[M]. 北京:电子工业出版社2000 4.课程设计工作进度打算: 序号起止日期工作内容 1 2018-1-3 布置任务,教师讲解设计方法及要求 2 2018-1-4 学生查找阅读资料,并确定方案 3 2018-1-5 学生设计小组会议,讨论方案 4 2018-1-6~11 设计、仿真实验 5 2018-1-12~13 写讲明书,小组讨论 6 2018-1-14 答辩 指导教师苏泽光日期: 2018 年 12 月日

目录 引言 (1) 1系统设计 (2) 1.1设计内容 (2) 1.2设计目的 (2) 1 3设计要求 (2) 1.4设计思路 (2) 1.5设计框图 (2) 1.6设计所需的元件 (3) 2.工作原理 (3) 2.1总体构想 (3) 2.2AT89S51单片机及其引脚讲明 (3) 2.3 AT24C02引脚图及其引脚功能、掉电储备单元设计 (5) 2.4里程运算、计价单元的设计 (6) 2.5 按键单元的设计 (8) 2.6语音功能的设计 (8) 3.系统主程序设计 (8) 3.2定时中断服务程序设计 (9) 3.3 里程计数中断服务程序设计 (9) 3.4 中途等待中断服务程序设计 (9) 3.5 显示子程序服务程序设计 (9) 3.6 键盘服务程序设计 (9) 4.系统测试 (11) 4.1测试使用的仪器或软件 (11) 4.2出租汽车里程计价表VHDL程序 (11) 4.2.1模块设计 (11)

出租车自动计费器EDA设计

出租车自动计费器EDA设计 6.7.1 设计要求 设计一个出租车自动计费器,计费包括起步价、行车里程计费、等待时间计费三部分,用三位数码管显示金额,最大值为元,最小计价单元为元,行程 3公里内,且等待累计时间3分钟内,起步费为8元,超过3公里,以每公里元计费,等待时间单价为每分钟1元。用两位数码管显示总里程。最大为99公里,用两位数码管显示等待时间,最大值为59min。 6.7.2原理描述 根据层次化设计理论,该设计问题自顶向下可分为分频模块,控制模块计量模块、译码和动态扫描显示模块,其系统框图如图6-63所示,各模块功能如下: 图6-63出租车自动计费器系统框图 1分频模块 & 分频模块对频率为240Hz的输入脉冲进行分频,得到的频率为16Hz,10Hz和1Hz的三种频率。该模块产生频率信号用于计费,每个1HZ脉冲为元计费控制,10HZ信号为1元的计费控制,16Hz信号为元计费控制。 2 计量控制模块 计量控制模块是出租车自动计费器系统的主体部分,该模块主要完成等待计时功能、计价功能、计程功能,同时产生3分种的等待计时使能控制信号en1, 行程 3公里外的使能控制信号en0。其中计价功能主要完成的任务是:行程 3公里内,且等待累计时间3分钟内,起步费为8元;3公里外以每公里元计费,等待累计时间3分钟外以每分钟1元计费;计时功能主要完成的任务是:计算乘客的等待累计时间,计时器的量程为59分,满量程自动归零;计程功能主要完成的任务是:计算乘客所行驶的公里数。计程器的量程为99公里,满量程自动归零。 3 译码显示模块 该模块经过8选1选择器将计费数据(4位BCD码)、计时数据(2位BCD码)、计程数据(2位BCD码)动态选择输出。其中计费数据jifei4~ jifei1~送入显示译码模块进行译码,最后送至百元、十元、元、角为单位对应的数码管上显示,最大显示为元;计时数据送入显示译码模块进行译码,最后送至分为单位对应的数码管上显示,最大显示为59秒;计程数据送入显示译码模块进行译码,最后送至以公里为单位的数码管上显示,最大显示为99公里。

出租车计价器电路设计

. . . . 中北大学 课程设计说明书 学生:学号: 学院: 仪器与电子学院 专业: 微电子科学与工程 题目: 出租车计价器电路设计 指导教师: 2014 年1 月2 日

目录 1设计目的 (2) 2设计要求 (2) 3设计容 (2) 1设计过程 (2) 2设计所用器件简介 (4) 3设计所需器件归纳 (7) 4设计结果/仿真结果 (8) 5心得体会 (10) 6参考文献 (10) 附件 (11)

一、设计目的 1.掌握电子电路的一般设计方法和设计流程; 2.学习简单电路系统设计,掌握Protel99的使用方法; 3.掌握锁存器、计数器、加法器等中规模数字集成器件的逻辑功能和使用方法; 4.学习掌握硬件电路设计的全过程。 二、设计要求 1.查阅所用器件技术资料,详细说明设计的出租车计价器工作流程; 2.里程数精确到1公里,起步价按5元/3公里,超出里程单价按1元/1公里进行计价。 3.等候时按1元/15分钟计价。设置一个计时按键处理等候等突发事件; 4.整理设计容,编写设计说明书。 三、设计容 1 设计过程 使用NI Multisim12.0软件绘制原理图。 应用NI Multisim10对所设计的电路进行仿真。 使用ALTIUM DESIGNER制作PCB版图。 1.1设计思路 出租车自动计费器是根据客户用车的实际情况而自动显示用车费用的数字仪表。仪表根据用车起价、行车里程计费及等候时间计费三项求得客户用车的总费用,通过数码自动显示。里程传感器可用干簧继电器实现,安装在与汽车车轮相连接的涡轮变速器上的磁铁使干簧继电器在汽车每前进十米闭合一次,即输出一个脉冲信号,实验用一个脉冲源模拟。

相关文档
最新文档