电子密码锁_大连理工大学数电课设

电子密码锁_大连理工大学数电课设
电子密码锁_大连理工大学数电课设

大连理工大学本科实验报告

题目:电子密码锁

课程名称: 数字电路课程设计

学院(系): 电子信息及电气工程学部

专业:电气工程及其自动化

班级:

学生姓名:

学号:

完成日期:

成绩:

题目:电子密码锁

1 设计要求

设计一个8位串行数字锁,并验证其操作。具体要求如下:1.开锁代码为8位二进制数,当输入代码的位数和位值与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮开锁指示灯LT。否则,系统进入“错误”状态,并发出报警信号。

2.开锁程序由设计者确定,并要求锁内给定的密码是可调的,且预置方便,保密性好。

3.串行数字锁的报警方式是点亮77指示灯LF,并使喇叭鸣叫来报警,报警动作响1分钟,停10秒钟后再重复出现,直到按下复位开关,报警才停止。此时,数字锁自动进入等待下一次开锁的状态。

4.报警器可以兼作门铃用,门铃响的时间通常为7~10秒。

2 设计分析及系统方案设计

系统的结构图如下图所示:

本实验要求串行输入八位密码,密码可以随意设置,可以任意更改, 并且能够存储.而且能够显示出当前已经输入或者设置的位数,待输入八位后通过比较电路与预先设置的密码进行比较.如果输入的密码与存储的密码相同锁体打开如果输入的密码与存储的密码不同则报警系统打开发出警报.由于还要求有门铃功能所以增加一个门铃输入当门铃按下后门铃响十秒钟。

对于密码存储以及密码输入比较部分主要由load 控制。

load为0时系统功能为设置密码,此时只需要顺序串行输入八位0/1密码即可,系统将输入的密码自动保存在存储器内以便于输入的密码进行比较,当load为1时系统功能为输入密码,此时只需要顺序串行输入八位0/1即可,待输入八位后系统自动将刚输入的密码与存储器内的密码进行比较如果密码正确则开锁信号lt为1,否则警报信号lf 和响铃信号alm为1 lt为0 对于门铃部分当检测到press信号的下降沿时门铃开始响,计数器开始计数,此时始终脉冲频率为50MHz/16MHz=3.125Hz ,此时当计数器为30时既时间为30/3.125=9.6秒时门铃自动关闭。

3系统以及模块硬件电路设计

系统电路图

系统电路图如上如所示其中clk1脚接入50MHz的方波,load有两种状态----高电平和低电平状态。k0 k1 press clr 分别接到四个去抖开关上开关按下去为低

电平lt alm2 lf alm分别接到四个发光二级管上,当输出为1时二极管发光。

y0[0~6]分别接到HEX7对应的引脚上实现数码管显示功能。Y1[0~6]分别接到HEX5对应的引脚上实现数码管显示功能。其余各种逻辑功能由芯片内部计算执行来实现。芯片内部程序通过对clk、load、k0、k1、press、clr输入信号的处理通过lt、alm2、lf、alm、y0[0~6]、y1[0~6]进行输出来达到系统所需要的功能。

DE2开发板上使用的元件要给出使用管脚编号。

4 系统的VHDL设计

系统的主要功能是通过VHDL语言来实现的。语言代码如下。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity mima is

port (clk:in std_logic; --定义时钟

k0:in std_logic; --输入一位0

k1:in std_logic; --输入一位1

clr:in std_logic; --复位

load:in std_logic; --改变状态

press:in std_logic; --门铃按钮

alm2:out std_logic; --门铃

lt:out std_logic; --开锁信号

y0:out std_logic_vector(6 downto 0); --输入位数显示

y1:out std_logic_vector(6 downto 0); --输出位数显示

lf:out std_logic; --亮灯

alm:out std_logic); --报警铃end mima;

architecture aaa of mima is

signal shift,lock:std_logic_vector(7 downto 0):="00000000"; --定义shift,lock signal lam1,lam:std_logic_vector(7 downto 0); --定义lam1,lam

signal la,li:std_logic; --定义la,li

signal clk1:std_logic; --定义clk1

signal p:std_logic_vector (4 downto 0); --定义p

signal aa:std_logic; --定义aa begin

m16:process(clk) --将clk 16M分频

variable q: std_logic_vector(22 downto 0);

begin

if clk'event and clk='1' then q:=q+1; --检测clk上升沿q=q+1

end if;

if q="11111111111111111111111" then clk1<='1'; -- clk1产生一个上升沿脉冲else clk1<='0';

end if;

end process m16;

process(clk1,clr) --判断是否复位begin

if clr='0' then

la<='0';

li<='0';

elsif clk1'event and clk1='1' then --检测clk1上升沿if load='0' then --判断状态

la<='1'; --设置密码

else

li<='1'; --输出密码end if;

end if;

end process;

process(clk1,clr) --复位及输入设置密码variable a:integer range 0 to 8;

begin

if clr='0' then --复位

lam<="00000000";

--lam置零

lam1<="00000000";

--lam1置零

shift<="00000000";

--shift置零

a:=0; --a置零

lt<='0'; --开锁置零

lf<='0'; --警报灯置零

alm<='0'; --警报置零elsif clk1'event and clk1='1' then --检测上升沿if li='1' then --输入密码

if a/=8 then --判断密码是否输入完毕if k1='0' then --输入1

shift<='1'&shift(7 downto 1 ); --依次输入一个1

lam<='1'&lam(7 downto 1); --点亮对应的一个小灯

a:=a+1;

elsif k0='0'then --输入一位0

shift<='0'&shift(7 downto 1); --依次输入一个1

lam<='1'&lam(7 downto 1); --点亮对应的一个小灯

a:=a+1;

end if;

else

a:=0;

if shift=lock then --判断密码是否正确

lt<='1'; --正确开锁

else

lf<='1'; --错误警报亮

alm<='1'; --错误警报响

end if;

end if;

elsif la='1' then --输入密码

a:=0;

if k1='0' then --输入一位1

lock<='1'&lock(7 downto 1); --lock密码增加一位1

lam1<='1'&lam1(7 downto 1); --点亮对应的灯

a:=a+1;

elsif k0='0' then --输入一位0

lock<='0'&lock(7 downto 1); --lock密码增加一位0

lam1<='1'&lam1(7 downto 1 ); --点亮对应的灯

a:=a+1;

end if;

end if;

end if;

end process;

code1:process(lam) --将小灯泡显示转换到LED显示begin

case lam is

when "00000000"=>y0<="1111111"; --显示0

when "10000000"=>y0<="1111001"; --显示1

when "11000000"=>y0<="0100100"; --显示2

when "11100000"=>y0<="0110000"; --显示3

when "11110000"=>y0<="0011001"; --显示4

when "11111000"=>y0<="0010010"; --显示5

when "11111100"=>y0<="0000010"; --显示6

when "11111110"=>y0<="1111000"; --显示7

when "11111111"=>y0<="0000000"; --显示8

when others=>y0<="1111111"; --关闭数码管end case;

end process;

code2:process(lam1) --显示位数

begin

case lam1 is

when "00000000"=>y1<="1111111"; --显示0

when "10000000"=>y1<="1111001"; --显示1

when "11000000"=>y1<="0100100"; --显示2

when "11100000"=>y1<="0110000"; --显示3

when "11110000"=>y1<="0011001"; --显示4

when "11111000"=>y1<="0010010"; --显示5

when "11111100"=>y1<="0000010"; --显示6

when "11111110"=>y1<="1111000"; --显示7

when "11111111"=>y1<="0000000"; --显示8

when others=>y1<="1111111"; --关闭数码管end case;

end process;

pp:process(press) --门铃功能begin

if press='0' then --按下门铃alm2<='1'; --门铃响end if;

if clk1'event and clk1='1' then p<=p+1; --延时10秒

end if;

if p=30 then

p<="00000";

alm2<='0'; --关闭门铃end if;

end process pp;

end aaa;

5 结论以及结果说明

本系统运行在联想计算机平台和Altera DE2平台,用的是quartus60软件调试环境,系统的参数选取均按照要求适当选取。

对密码模块:开始时,先给系统清零,即使clr为0(按下KEY[3]),若设置密码则SW[17]开关拨下使load为低电平,此时通过按去抖开关KEY[0],KEY[1]进行密码设置0和1,每输入一位密码则数目管显示的数字加1直到输入第8位设置密码:仿真图如下如所示。设置密码时将SW[17]拨下使load为低电平并且clr为高电平此时可以设置密码,由图可以看出设置的密码为00000111每设置一位密码数码管HEX5的七个引脚都随着y1[0~6]的变化而变化,进而显示出当前的位数。

设置密码仿真图

当输入密码时,SW[17]开关拨上使load为高电平输入密码开始,此时通过按去抖开关KEY[0],KEY[1]进行密码设置0和1,每输入一位密码则数目管显示的数字加1直到输入第8位。系统自动将输入的密码与预设的密码进行比较如果相同则开锁。开锁成功仿真图如下如所示,此时设置的密码为00001111输入的密码为00001111,由图可以看每设置一位密码数码管HEX5的七个引脚都随着y1[0~6]的变化而变化,进而显示出当前的位数。此时clr为高电平load为低电平当密码输入完毕后将load变为高电平进行密码输入输入方法与设置密码相同没设置一位密码数码管HEX7的七个引脚都随着y0[0~6]的变化而变化,进而显示出当前的位数。当输完八位密码后系统将其与预先设置的密码进行对比,由于设

置与输入的密码相同所以lt为高电平开锁,lf、alm为低电平没有反应。

密码正确开锁成功仿真图

开锁不成功仿真图如下如所示,此时设置的密码为00000111输入的密码为00000011,由图可以看每设置一位密码数码管HEX5的七个引脚都随着y1[0~6]的变化而变化,进而显示出当前的位数。此时clr为高电平load为低电平当密码输入完毕后将load变为高电平进行密码输入输入方法与设置密码相同没设置一位密码数码管HEX7的七个引脚都随着y0[0~6]的变化而变化,进而显示出当前的位数。当输完八位密码后系统将其与预先设置的密码进行对比,由于设置与输入的密码不同所以lt为低电平不开锁,lf、alm为高电平,警报响起,警报灯亮起

密码错误开锁不成功仿真图

门铃功能仿真图如下图所示。对于门铃部分当检测到press信号的下降沿

时门铃开始响,计数器开始计数,当计数器为30时门铃自动关闭

门铃功能仿真图

参考文献

1 普通图书

邹其洪主编、张洪欣主审.EDA技术实验教程.北京:中国电力出版

社,2009.117页-119页

电子密码锁使用说明

基于51单片机的简易电子密码锁 使用说明 一、实现功能: 1、设置6位密码,密码通过键盘输入,若密码正确,则将锁打开。 2、密码可以由用户自己修改设定(只支持6位密码),锁打开后 才能修改密码。修改密码之前必须再次输入密码,在输入新密 码时候需要二次确认,以防止误操作。 3、报警、锁定键盘功能。密码输入错误显示器会出现错误提示, 若密码输入错误次数超过3次,蜂鸣器报警并且锁定键盘。 4、AT24C02保存密码,支持复位保存,掉电保存功能。 二、按键说明 按键定义图

如图示:采用4X4键盘输入,键盘对应名称如下: 1 2 3 A 4 5 6 B 7 8 9 C * 0 # D 其中,【0—9】为数字键,用于输入相应的密码, 【*】号键为取消当前操作 【#】号键为确认 【D】键为修改密码 其它键无功能及定义 三、作用说明: 密码锁初始密码为:000000. 1、开锁:插上电源后,程序自动调入初始密码,此时依次输 入:000000,然后按【#】(确认)键,此时锁会打开,可以 看到显示open,密码锁打开。(如为自己焊接,请首次使用 输入:131420,对密码进行初始化,当显示出现:initpassword, 证明密码初始化完成,此时初始密码即为:000000)。 2、退出并关锁:按下【*】(取消)键,此时锁关闭,所有输 入清除。 3、修改密码:在开锁状态下,再次输入正确的密码并按下【#】 (确认)键,此时听到两声提示,输入新的六位密码并按【D】 (重设)键,再重复输入一次新密码并按【D】,会听到两

声提示音,表示重设密码成功,内部保存新密码并存储到AT24C02。(如两次输入的新密码不一样,则重设密码失败)。 4、报警并锁定键盘:当输入密码错误后,报警并锁定键盘3 秒,如3秒内又有按键,3秒再启动。 5、当重置新密码时,新密码会保存于AT24C02存储器里。 有任何问题请与我联系: QQ:331091810 E_mail:331091810@https://www.360docs.net/doc/1916145903.html, 旺旺ID:j_yongchao2008 淘宝店址:https://www.360docs.net/doc/1916145903.html,/

多功能数字钟数电课设

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 多功能数字钟的设计与实现 初始条件: 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示时间计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。 3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。 4)设计提供连续触发脉冲的脉冲信号发生器, 5)具有校时单元、闹钟单元和整点报时单元。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) Abstract (4) 绪论 (5) 1仿真软件Proteus介绍 (6) 1.1Proteus概述 (6) 1.2Proteus功能特点 (6) 2方案论证 (8) 2.1方案一:采用中小规模集成电路模块实现 (8) 2.2方案二:采用单片机构建数字钟系统 (8) 2.3方案选择 (8) 3总体电路设计 (9) 3.1电路原理分析与设计 (9) 3.2系统原理图 (9) 4各模块电路分析 (10) 4.1时钟脉冲发生器 (10) 4.1.1方案一:RC振荡器 (10) 4.1.2方案二:555定时器 (11) 4.1.3方案三:石英晶体振荡器 (13) 4.1.4结论 (13) 4.2译码显示电路 (14) 4.3计数器电路 (16) 4.3.1 时计数电路 (17) 4.3.2 分计数电路 (18) 4.3.3秒计数电路 (19) 4.4 校时电路部分 (19) 4.4.1 方案一:快速脉冲法 (19) 4.4.1 方案二:按键单脉冲法 (20) 4.5 整点报时电路 (21) 4.6 闹钟电路 (22) 5总体电路设计与仿真 (23) 5.1总体电路图 (24) 5.2仿真结果分析 (24) 6 总结 (24) 参考文献 0

数字电子技术课程设计汇本电子密码锁

课程设计说明书 课程名称:数字电子技术课程设计 题目:电子密码锁 学生: 专业: 班级:

学号: 指导教师: 日期:年月日

电子密码锁 一、设计任务与要求 1.用电子器件设计制作一个密码锁,使之在输入正确的代码时开锁。 2.在锁的控制电路中设一个可以修改的4位代码,当输入的代码和控制电路 的代码一致是锁打开。 3.用红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁 4.如5s未将锁打开,则电路自动复位进入自锁状态,并发报警信号。 二、方案设计与论证 1、用按键输入四位十进制数字,输入密码要存储。 2、比较输入密码和原始密码。当输入正确密码时,给出开锁信号,开锁信号用一个绿色指示灯表示,绿灯亮表示密码输入正确;如果输入密码不正确,用红灯表示。 3、锁的开关用红灯和绿灯表示,一次只能亮一盏。红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁。 4、设置倒计时电路和自锁电路。如果密码在5s未能输入正确则发出报警声,并且自锁电路。 5、设置密码设置开关,开关闭合后,允许设置密码,设置好密码后,打开此开关。 6、需要在输入密码开始时识别输入,并由此触发计时电路。 方案一用74LS147译码器来把按键输入转化为二进制。通过8片四位寄存器74LS194实现密码功能,其中四片用来存储预置密码,另四片则用来存储输

入的密码。当密码开始输入时开始计时,通过74LS192计数器实现计时功能;然后在密码输入期间,用74LS138数据选择器来选片存储。数据选择器的输入端又一个两位的二进制的加法计数器来控制,当键盘有按键输入时计数器就加1,当一个按键按完后会轮到下一个芯片存储。自锁功能利用74LS138来控制。通过四片74LS85芯片判断原始密码和输入密码是否相同,接着用指示灯来表示密码的输入正确与否,如果密码没有输入正确的话,则红灯亮,否则则绿灯亮。若是没在规定时间输入正确密码,则会发出警报信号(蜂鸣器响)。 方案二也用74LS147译码器来把按键输入转化为二进制。通过8片四位寄存器74LS175实现密码的存储问题。用四个双D触发器来组成一个位移控制器来控制74LS175的存储密码,当键盘有输入时双D触发器组成的位移寄存器的输出就会往右位移一位。计数器用555单稳态电路来计时。输入密码时,键盘有按键输入就开始计时,单稳态电路输出一个脉冲,等脉冲过后判断密码锁是否已解锁,若就没解锁则自锁电路。通过四片74LS85芯片判断原始密码和输入密码是否相同,接着用指示灯来表示密码的输入正确与否,如果密码没有输入正确的话,则红灯亮,否则则绿灯亮。若是没在规定时间输入正确密码,则会发出警报信号。 三、单元电路设计与参数计算 方案一和方案二对比,我选择了方案一。原因是:方案一中的倒计时单路方便显示,比较直观。而且方案一的总体思路是用一个数据总线和一个地址线来控制信号的输入存储,比起方案二更优秀。所以我选择了方案一。 1、按键输入和按键信号识别

大连理工大学数字电路课程设计报告:多功能数字时钟设计

大连理工大学本科实验报告题目:多功能数字时钟设计 课程名称:数字电路与系统课程设计 学院(系):信息与通信工程学院 专业:电子信息工程 班级: 学生姓名: 学号: 完成日期:2014年7月16日 2014 年7 月16 日

题目:多功能数字时钟设计 1 设计要求 1) 具有“时”、“分”、“秒”及“模式”的十进制数字显示功能; 2) 具有手动校时、校分功能,并能快速调节、一键复位(复位时间12时00分00秒); 3) 具有整点报时功能,从00分00秒起,亮灯十秒钟; 4) 具有秒表功能(精确至百分之一秒),具有开关键,可暂停、可一键清零; 5) 具有闹钟功能,手动设置时间,并可快速调节,具有开关键,可一键复位(复位时间12时00分00秒),闹钟时间到亮灯十秒钟进行提醒; 6) 具有倒计时功能(精确至百分之一秒),可手动设置倒计时时间,若无输入,系统默认60秒倒计时,且具有开关键,计时时间到亮灯十秒钟进行提醒,可一键复位(复位时间默认60秒)。 2 设计分析及系统方案设计 2.1 模式选择模块:按键一进行模式选择,并利用数码管显示出当前模式。模式一:时钟显示功能;模式二:时钟调节功能;模式三:闹钟功能;模式四:秒表功能;模式五:倒计时功能。 2.2 数字钟的基本功能部分:包括时、分、秒的显示,手动调时,以及整点报时部分。基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。 具有复位按键1,在时钟模式下按下复位键后对时钟进行复位,复位时间12时00分00秒。 进入手动调时功能时,通过按键调节时间,每按下依次按键2,时钟时针加一,按下按键2一秒内未松手,时钟时针每秒钟加十;按键1对分针进行控制,原理与时针相同并通过译码器由七位数码管显示。 从00分00秒开始,数字钟进入整点报时功能(本设计中以一个LED灯代替蜂鸣器,进行报时),亮灯10秒钟进行提示。 2.3多功能数字钟的秒表功能部分:计时范围从00分00.00秒至59分59.99秒。可由复位键0异步清零,并由开关1控制计时开始与停止。 将DE2硬件中的50MHZ晶振经过分频获得周期为0.01秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示 2.4多功能数字钟的闹钟功能部分:进入闹钟功能模式后,通过按键2(设定小时)和按键1(设定分钟)设定闹钟时间,当按下按键一秒内未松手时,可进行快速设定时间。当时钟进入闹钟设定的时间(判断时钟的时信号时针,分针分别与闹钟设定的时信号时针、分针是否相等),则以LED灯连续亮10秒钟进行提示,并由开关0控制闹钟的开和关。 2.5 多功能数字钟的倒计时功能部分:可通过按键3(设定分针)和按键2(设定秒针)设定倒计时开始,当按下按键一秒内未松手时,可进行快速设定时间。当没有手动时间设定时,系统默认为60秒倒计时。倒计时的时钟与数字钟的时钟相同,每迎到一个1s时钟上升

门禁电子密码锁说明书

《微机原理及接口技术》 课程设计说明书 课题:门禁电子密码锁 专业:通信工程 班级:**** 姓名:****** 学号: 指导老师:

目录 一、设计任务 (3) 1、设计题目 (3) 2、设计目的 (3) 3、设计任务 (3) 4、设计基本要求 (3) 二、总体方案设计与论证 (4) 1、总体方案设计 (4) 2、按键消抖方案设计与论证 (4) 3、按键检测方案设计与论证 (5) 4、数码管显示方案设计 (5) 三、总框图及总体软件设计说明 (5) 1、系统总框图 (5) 2、硬件模块功能说明 (5) 3、软件设计说明 (6) 四、局部程序设计说明 (7) 1、按键检测程序 (7) 2、拆字程序 (8) 3、显示程序 (10) 4、核对密码程序 (11) 5、中断服务程序 (14) 五、系统资源分配 (15) 六、系统功能与操作说明 (16) 七、调试记录及调试结果 (17) 八、课程设计总结 (17) 附录 (18)

一、设计任务 1、设计题目:门禁电子密码锁 2、设计目的:通过小型微机应用产品的设计与调试过程,运用《微机原理 及接口技术》课程所学的基本知识,在设计中加以应用,进而得到理解、巩固和提高发展,通过实践的过程学习掌握分析与解决实际问题的方法与手段,提高设计、编程与调试的实际动手能力,作为工程技术工作的一次基本训练。 3、设计任务:设计一个以单片机为核心的门禁密码锁。具有密码开锁,不 同权限的密码修改、密码输入安全设置、开门时段管理等功能。编程并在单片机实验板上模拟调试实现。 4、设计基本要求: 1、开机进行接口部件及数码显示器、指示灯、讯响器等自检。 2、密码分为管理员密码和用户密码:管理员密码为8位0~9的数字,而 用户密码为6位0~9的数字。 3、设置“0”~“9”的数字键及“ESC”和“ENT”等功能键。对按键输入 信号须进行软件消抖处理。 4、工作模式: (1)常态:数码管显示时钟。 (2)开锁模式:需输入6位数字为0~9的密码进行开锁。密码数字可以由管理员事先设置保存共普通用户使用。 (3)管理员操作模式:需输入8位0~9的密码,可以进行修改用户密码、修改管理员密码、修改进门时段等功能。 5、常态下,八位LED 7段数码管显示时钟信息可包括小时、分钟、秒钟。 6、只要按下0~9的数字键则转入开锁状态,每按一个数字键使数码管从 左到右逐次显示“—”。 7、如果输入的密码为6位则和用户密码进行匹配,匹配一致则开锁,如 果输入的密码为8位则和管理员密码进行匹配,匹配一致则进入管理员操作模式。 8、在密码输入状态下,输入满6位或8位密码数据后,按“ENT”键才能 进行密码匹配,如果没满6位或8位数字密码,按“ENT”则不作响应。 9、输入的用户密码如果匹配则相应指示灯亮并且电动开门电磁铁线圈通 电1S开门后返回常态;如果输入不正确,则提示“E”,3S后返回常态,等待下一轮的密码输入。

武汉理工大学模电课设温度控制系统设计

课程设计任务书 学生姓名:张亚男专业班级:通信1104班 指导教师:李政颖 工作单位:信息工程学院 题目: 温度控制系统的设计 初始条件:TEC半导体制冷器、UA741 运算放大器、LM339N电压比较器、稳压管、LM35温度传感器、继电器 要求完成的主要任务: 一、设计任务:利用温度传感器件、集成运算放大器和Tec(Thermoelectric Cooler, 即半导体致冷器)等设计一个温度控制器。 二、设计要求:(1)控制密闭容器内空气温度 (2)控制容器容积>5cm*5cm*5cm (3)测温和控温范围0℃~室温 (4)控温精度±1℃ 三、发挥部分:测温和控温范围:0℃~(室温+10℃) 时间安排:19周准备课设所需资料,弄清各元件的原理并设计电路。 20周在仿真软件multisim上画出电路图并进行仿真。 21周周五前进行电路的焊接与调试,周五答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

温度控制系统的设计 1.温度控制系统原理电路的设计 (3) 1.1 温度控制系统工作原理总述 (3) 1.2 方案设计 (3) 2.单元电路设计 (4) 2.1 温度信号的采集与转化单元——温度传感器 (4) 2.2 电压信号的处理单元——运算放大器 (5) 2.3 电压值表征温度单元——万用表 (7) 2.4 电压控制单元——迟滞比较器 (8) 2.5 驱动单元——继电器 (10) 2.6 TEC装置 (11) 2.7 整体电路图 (12) 3.电路仿真 (12) 3.1 multisim仿真 (12) 3.2 仿真分析 (14) 4.实物焊接 (15) 5.总结及体会 (16) 6.元件清单 (18) 7.参考文献 (19)

数字电路密码锁课程设计

“数字电子技术”课程设计 实验报告 姓名: 指导老师: 班级:13电子卓越班 学号:2013****01121 时间:2014·12·05 东莞理工学院 电子工程学院 密码锁

目录 一、选题意义 (3) 二、方案论证选择 (4) 2.1 设计要求 (4) 2.2 拓展要求 (4) 2.3 系统框图 (4) 2.4 设计过程 (5) 三、电路设计 (5) 3.1 所需芯片及芯片管脚图 (5) 3.2 CD4017构成的主题电路 (6) 3.2确认键的电路设计 (6) 3.3输入密码三次锁死系统原理分 (7) 3.4用led显示当前输入密码个数 (8) 3.5 综合电路 (8) 四、电路调试及实物照片 (9) 五、心得体会 (13)

一.选题意义 1概述 电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。应用较广的电子密码锁是以芯片为核心,通过编程来实现的。 2性能特点 其性能和安全性已大大超过了机械锁,特点如下: 1.保密性好,编码量多,远远大于弹子锁。随机开锁成功率几乎为零。 2.密码可变。用户可以经常更改密码,防止密码被盗,同时也可以避免因人员的更替而使锁的密级下降。 3.误码输入保护。当输入密码多次错误时,报警系统自动启动。 4. 电子密码锁操作简单易行,一学即会。 5.干扰码功能在输入正确密码前可输入任意码。 6.安保功能 如果连续输错4次密码将会自动断电3分钟。 7.紧急开启功能(Panic Open) 出门时无需其他操作,只需一次的把手动作,可机械的开启门,所以遇到火灾等应急状况下也迅速,安全的开启门。 8.入侵感应功能 在门上锁的状态下,有人破锁而入时,会发出强力的报警音。 9.火灾报警功能 在室内如果温度达到75°左右,将会发出强力的报警音,同时锁会自动开启。 10.双重锁定功能 外部强制锁定:在内部不能开启,适用于外出时,防止有人入侵。 内部强制锁定:在外部不能开启,让您在家时更安心、安全。 11.弱电提醒当电量不足时,在启动开门时,会有美妙的音乐提示您及时更换电池。 12.自动上锁功能 采用全自动锁芯,门关后6秒内自动上锁,外出更加安全。 本次我们设计的密码锁仅为逻辑电路部分,不涉及上文所述的具体的机械设备以及其他周边电路!

电子密码锁_大连理工大学数电课设

大连理工大学本科实验报告 题目:电子密码锁 课程名称: 数字电路课程设计 学院(系): 电子信息及电气工程学部 专业:电气工程及其自动化 班级: 学生姓名: 学号: 完成日期: 成绩:

题目:电子密码锁 1 设计要求 设计一个8位串行数字锁,并验证其操作。具体要求如下:1.开锁代码为8位二进制数,当输入代码的位数和位值与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮开锁指示灯LT。否则,系统进入“错误”状态,并发出报警信号。 2.开锁程序由设计者确定,并要求锁内给定的密码是可调的,且预置方便,保密性好。 3.串行数字锁的报警方式是点亮77指示灯LF,并使喇叭鸣叫来报警,报警动作响1分钟,停10秒钟后再重复出现,直到按下复位开关,报警才停止。此时,数字锁自动进入等待下一次开锁的状态。 4.报警器可以兼作门铃用,门铃响的时间通常为7~10秒。 2 设计分析及系统方案设计 系统的结构图如下图所示: 本实验要求串行输入八位密码,密码可以随意设置,可以任意更改, 并且能够存储.而且能够显示出当前已经输入或者设置的位数,待输入八位后通过比较电路与预先设置的密码进行比较.如果输入的密码与存储的密码相同锁体打开如果输入的密码与存储的密码不同则报警系统打开发出警报.由于还要求有门铃功能所以增加一个门铃输入当门铃按下后门铃响十秒钟。

对于密码存储以及密码输入比较部分主要由load 控制。 load为0时系统功能为设置密码,此时只需要顺序串行输入八位0/1密码即可,系统将输入的密码自动保存在存储器内以便于输入的密码进行比较,当load为1时系统功能为输入密码,此时只需要顺序串行输入八位0/1即可,待输入八位后系统自动将刚输入的密码与存储器内的密码进行比较如果密码正确则开锁信号lt为1,否则警报信号lf 和响铃信号alm为1 lt为0 对于门铃部分当检测到press信号的下降沿时门铃开始响,计数器开始计数,此时始终脉冲频率为50MHz/16MHz=3.125Hz ,此时当计数器为30时既时间为30/3.125=9.6秒时门铃自动关闭。 3系统以及模块硬件电路设计 系统电路图 系统电路图如上如所示其中clk1脚接入50MHz的方波,load有两种状态----高电平和低电平状态。k0 k1 press clr 分别接到四个去抖开关上开关按下去为低 电平lt alm2 lf alm分别接到四个发光二级管上,当输出为1时二极管发光。

武汉理工大学历年数电试卷

F3 F3 (共12分)设计一个三人举手表决电路,要求输出 的状态一致: .列出真值表并写出逻辑表达式; .用3/8线译码器74138和与非门实现上述逻辑函数,画出电路图。 74138集成译码器功能表及符号 74LS151组成的电路:

武汉理工大学教务处 试题标准答案及评分标准用纸 课程名称 数字电子技术 ( A 卷) 一、填空(每空1分,共16分) 1.( 111111.11)B =(77.7)O =(3F.C )H =(01100011.01110101)8421BCD 2.()()F A B B C =++,()()'F A B B C =++ 3.A=C=1,F AB BC AC =++ 4.速度快 5.-5V 6.10,8,8 7.0,1,2 二、化简(每小题6分,共12分) 1.代数法化简:()()()F A B ABC A(B AB) A B AC A A B A+C A A BC A A BC A B+C AB+AC =?+++=?++=++=++=+== 2.卡诺图法化简:Y(A,B,C,D)=(0,2,3,4,6,12)(1,7,8,10,14,15)∑+∑m d 三、写表达式(每小题4分,共12分) 1.1F A B C =?? 2.2F A B B C =?+? 3.3F 1= 四、1.真值表:(略)(3分) 逻辑表达式:()Y 3,5,6,7m =∑(3分) 2.电路图:(6分) 1 G A 74LS138 G 2 2 1 2 A G A Y 4 1 Y Y 5 Y 2 Y 6 Y Y 7 Y 3 0 A B C 1 0 0 Y 图1 例1逻辑

数字电子技术课程设计电子密码锁

课程设计说明书 课程名称: 数字电子技术课程设计 题目:电子密码锁 学生姓名: 专业: 班级: 学号: 指导教师: 日期:年月日

电子密码锁 一、设计任务与要求 1.用电子器件设计制作一个密码锁,使之在输入正确的代码时开锁。 2.在锁的控制电路中设一个可以修改的4位代码,当输入的代码和控制电路的代码一致是锁打开。 3.用红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁 4.如5s内未将锁打开,则电路自动复位进入自锁状态,并发报警信号. 二、方案设计与论证 1、用按键输入四位十进制数字,输入密码要存储。 2、比较输入密码和原始密码.当输入正确密码时,给出开锁信号,开锁信号用一个绿色指示灯表示,绿灯亮表示密码输入正确;如果输入密码不正确,用红灯表示。 3、锁的开关用红灯和绿灯表示,一次只能亮一盏。红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁。 4、设置倒计时电路和自锁电路。如果密码在5s内未能输入正确则发出报警声,并且自锁电路。 5、设置密码设置开关,开关闭合后,允许设置密码,设置好密码后,打开此开关。 6、需要在输入密码开始时识别输入,并由此触发计时电路. 方案一用74LS147译码器来把按键输入转化为二进制。通过8片四位寄存器74LS194实现密码功能,其中四片用来存储预置密码,另四片则用来存储输入的密码。当密码开始输入时开始计时,通过74LS192计数器实现计时功能;然后在密码输入期间,用74LS138数据选择器来选片存储。数据选择器的输入端又一个两位的二进制的加法计数器来控制,当键盘有按键输入时计数器就加1,当一个按键按完后会轮到下一个芯片存储。自锁功能利用74LS138来控制.通过四片74LS85芯片判断原始密码和输入密码是否相同,接着用指示灯来表示密码的输入正确与否,如果密码没有输入正确的话,则红灯亮,否则则绿灯亮。若是没在规定时间输入正确密码,则会发出警报信号(蜂鸣器响).

数电课设 简易洗衣机控制电路

课程设计任务书 学生姓名: 专业班级: 信息sy1101 指导教师: 工作单位: 信息工程学院 题 目: 简易洗衣机控制电路的设计与实现 初始条件:zzzzzzz 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示定时时间。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个电子定时器,控制洗衣机按如下洗涤模式进行工作: 2)当定时时间达到终点时,一方面使电机停机,同时用指示灯提醒用户。 3)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 4)用三只LED 灯表示“正转”、“反转”和“暂停”3个状态。 5)确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,阐述基本原理。画出电路原理图。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、 2013 年 5 月 16 日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、 2013 年 6 月 25 日至 2013 年 6 月 28 日,方案选择和电路设计。 3、 2013 年 6 月 29 日至 2013 年 7 月 3 日,电路调试和设计说明书撰写。 4、 2013 年 7 月 5 日,上交课程设计成果及报告,同时进行答辩。 指导教师签名: 年 月 日 停机

目录 摘要 (1) Abstract (2) 1.概述 (3) 1.1设计任务和要求 (3) 1.2设计的总体思路 (3) 2.总体方案及原理框图 (4) 2.1方案提出 (4) 2.2方案分析 (5) 3.单元电路设计与参数的计算 (6) 3.1 秒脉冲发生器 (6) 3.2 分秒计时器 (7) 3.3 数码显示器 (9) 3.4电机控制电路 (10) 3.5 报警电路 (13) 4、总体电路 (17) 5. 心得体会 (19) 附录一参考文献 (20) 附录二元件清单 (21) 附录三实物图 (22)

数字电子技术课程设计电子密码锁

课程设计说明书课程名称:数字电子技术课程设计 题目:电子密码锁 学生姓名: 专业: 班级: 学号: 指导教师: 日期:年月日

电子密码锁 一、设计任务与要求 1.用电子器件设计制作一个密码锁,使之在输入正确的代码时开锁。 2.在锁的控制电路中设一个可以修改的4位代码,当输入的代码和控制电路 的代码一致是锁打开。 3.用红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁 4.如5s内未将锁打开,则电路自动复位进入自锁状态,并发报警信号。 二、方案设计与论证 1、用按键输入四位十进制数字,输入密码要存储。 2、比较输入密码和原始密码。当输入正确密码时,给出开锁信号,开锁信号用一个绿色指示灯表示,绿灯亮表示密码输入正确;如果输入密码不正确,用红灯表示。 3、锁的开关用红灯和绿灯表示,一次只能亮一盏。红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁。 4、设置倒计时电路和自锁电路。如果密码在5s内未能输入正确则发出报警声,并且自锁电路。 5、设置密码设置开关,开关闭合后,允许设置密码,设置好密码后,打开此开关。 6、需要在输入密码开始时识别输入,并由此触发计时电路。 方案一用74LS147译码器来把按键输入转化为二进制。通过8片四位寄存器74LS194实现密码功能,其中四片用来存储预置密码,另四片则用来存储输入的密码。当密码开始输入时开始计时,通过74LS192计数器实现计时功能;然后在密码输入期间,用74LS138数据选择器来选片存储。数据选择器的输入端又一个两位的二进制的加法计数器来控制,当键盘有按键输入时计数器就加1,当一个按键按完后会轮到下一个芯片存储。自锁功能利用74LS138来控制。通过四片74LS85芯片判断原始密码和输入密码是否相同,接着用指示灯来表示密码的输入正确与否,如果密码没有输入正确的话,则红灯亮,否则则绿灯亮。若是没在规定时间输入正确密码,则会发出警报信号(蜂鸣器响)。

数电设计数字钟基于QUARTUS

大连理工大学本科实验报告 题目:数电课设——多功能数字钟 课程名称:数字电路课程设计 学院(系):电信学部 专业:电子与通信工程 班级: 学生姓名: *************** 学号:*************** 完成日期: 成绩: 2010 年 12 月 17 日 题目:多功能数字时钟 一.设计要求 1)具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23) 2)具有手动校时校分功能 3)具有整点报时功能,从59分50秒起,每隔2秒钟提示一次 4)具有秒表显示、计时功能(精确至百分之一秒),可一键清零 5)具有手动定时,及闹钟功能,LED灯持续提醒一分钟 6)具有倒计时功能,可手动设定倒计时范围,倒计时停止时有灯光提示,可一键清零 二.设计分析及系统方案设计 1. 数字钟的基本功能部分,包括时、分、秒的显示,手动调时,以及整点报时部分。基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。 进入手动调时功能时,通过按键改变控制计数器的时钟周期,使用的时钟脉冲进行调时计数(KEY1调秒,LOAD2调分,LOAD3调时),并通过译码器由七位数码管显示。

从59分50秒开始,数字钟进入整点报时功能。每隔两秒提示一次。(本设计中以两个LED灯代替蜂鸣器,进行报时) 2. 多功能数字钟的秒表功能部分,计时范围从00分秒至59分秒。可由输入信号(RST1)异步清零,并由按键(EN1)控制计时开始与停止。 将DE2硬件中的50MHZ晶振经过分频获得周期为秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示。 3.多功能数字钟的闹钟功能部分,通过按键(KEY1,KEY2,KEY3)设定闹钟时间,当时钟进入闹钟设定的时间(判断时钟的时信号qq6,qq5与分信号qq4,qq3分别与闹钟设定的时信号r6,r5与分信号r4,43是否相等),则以LED灯连续提示一分钟。 4. 多功能数字钟的倒计时功能部分,可通过按键(LOAD7调秒,LOAD8调分,LOAD9调时)设定倒计时开始时刻。倒计时的时钟与数字钟的时钟相同,每迎到一个时钟上升沿,则计数器减一。计数器减至00时,分钟位、秒钟位恢复至59,时钟位恢复至23。倒计时结束时(即00时00分00秒),控制LED灯亮,表示倒计时结束。 本设计通过数据选择器控制译码器,使数码管独立显示,各功能之间互不影响。当LOAD4为高电平,则对秒表信号进行译码,数码管显示秒表数据;当LOAD4为低电平,LOAD5为高电平,则对闹钟信号进行译码,数码管显示闹钟数据;当LOAD4,LOAD5为低电平,LOAD6为高电平,则对倒计时信号进行译码,数码管显示倒计时信号数据;当LOAD4,LOAD5,LOAD6全为低电平,则对正常时钟信号进行译码,数码管显示时钟信号数据。 附图1:系统总体结构框图

基于VHDL的电子密码锁的设计(1)

《E D A仿真与实践实习》 学院:信息科学与工程学院 课题名称:硬件描述语言设计 ——基于VHDL的电子密码锁的设计 班级: 学生: 学号: 指导教师:

1 引言 在日常的生活和工作中, 住宅与部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。随着科学技术的不断发展,人们对日常生活中的安全保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性,用密码代替钥匙的密码锁应运而生。 基于EDA技术设计的电子密码锁。以其价格便宜、使用方便、安全性高、成本低、功耗低、易操作等优点,受到了人们的普遍关注。而以可编程逻辑器件(FBDA)为设计载体,以硬件描述语言(VHDL)为主要表达方式,以QuartusⅡ5.1开发软件等为设计工具设计的电子密码锁,由于其能够实现密码输入、密码校验、密码设置和更改等功能,因此,能够满足社会对安全防盗的需求。 本设计的各个模块由相应的VHDL程序具体实现,并在QuartusⅡ5.1环境下进行了整体电路的模拟仿真,最终实现“密码锁控制器设计”的要求。 2 设计内容和要求 2.1 设计内容: 题目:电子密码锁 内容:设计一个4位串行数字锁。 (1)开锁代码为4位二进制,当输入代码的位数与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮一个指示灯。否则进入“错误”状态,并发出报警信号。 (2)锁内的密码可调,且预置方便,保密性好。 (3)串行数字锁的报警由点亮一个灯,直到按下复位开关,报警才停下。此时,数字锁又自动等待下一个开锁状态。 要求: (1)通过查阅相关技术资料,详细描述电子密码锁的基本原理。 (2)编写电子密码锁的Verilog HDL或VHDL程序,并仿真编译下载验证。

数字电路课程设计之数字密码锁电路设计

图1 数字式电子锁原理框图 课程设计任务书 学生姓名: 张浩然 专业班级: 通信1105班 指导教师: 李政颖 工作单位: 信息工程学院 题 目: 数字式电子锁的设计与实现 初始条件: 本设计既可以使用集成电路和必要的元器件等,也可 以使用单片机系统构建数字密码电子锁。自行设计所需工 作电源。电路组成原理框图如图1,数字密码锁的实际锁 体一般由电磁线圈、锁栓、弹簧和锁柜构成。当线圈有电 流时,产生磁力,吸动锁栓,即可开锁。反之则不开锁。 要求完成的主要任务: 1、课程设计工作量:1周。 2、技术要求: 1)课程设计中,锁体用LED 代替(如“绿灯亮”表示开锁,“红灯亮”表示闭锁)。 2)其密码为4位二进制代码,密码可以通过密码设定电路自行设定。 3)开锁指令为串行输入码,当开锁密码与存储密码一致时,锁被打开。当开锁密码与存储密码不一致时,可重复进行,若连续三次未将锁打开,电路则报警并实现自锁。(报警动作为响1分钟,停10秒) 4)选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。安装调试设计电路。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、 年 月 日至 年 月 日,方案选择和电路设计。 3、 年 月 日至 年 月 日,电路调试和设计说明书撰写。 4、 2013年 7月 5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名: 年 月 日 系主任(或责任教师)签名: 年 月 日

数电课程设计电子密码锁模板

数电课程设计电子 密码锁

数字逻辑设计 课程设计报告书 题目名称: 电子密码锁 学院: 光电信息学院 小组成员: 钟永捷 侯晨涛 徐昊 指导教师: 李力 日期: 6月28日 一、小组成员分工情况

侯晨涛: 所有编程工作、资料查找工作量: 60% 钟永捷: 辅助编程、图表制作、资料查找工作量: 20% 徐昊: 论文写作、图表制作、资料查找工作量: 20% 二、题目分析 1.输入信号 经过扫描键盘的行与检测键盘的列得到输入信号。 2.输出信号 经过译码器得到的显示器显示信息, 开关锁动作。 三、设计总框图 四、各模块说明 (一)键盘扫描模块 1.原理 经过时序信号产生脉冲, 不间断地向矩阵键盘的行输入1110-1101-1011-0111的循环

序列。同时将各列的电平置高, 检测矩阵键盘各列的电平变化。若在一定时间内, 扫描到第n 列电平为零时恰好第m 行的输入电平也为零, 则判断键盘上[]n m ,位置上键被按下。 2. 模块框图 3. 状态表 此模块只需要经过编码器实现即可, 假定前四位表示各行扫描结果, 后三位表示各列扫描的结果。

动作行输入列输入状态命名编码输出按下”0”键0111 101 S0 0000 按下”1”键1110 110 S1 0001 按下”2”键1110 101 S2 0010 按下”3”键1110 011 S3 0011 按下”4”键1101 110 S4 0100 按下”5”键1101 101 S5 0101 按下”6”键1101 011 S6 0110 按下”7”键1011 110 S7 0111 按下”8”键1011 101 S8 1000 按下”9”键1011 011 S9 1001 按下”#”键0111 011 S10 1010 按下”*”键0111 110 S11 1011 4.仿真结果

单片机电子密码锁说明书

单片机课程设计 说明书 设计题目—基于AT89C51的电子密码锁设计 专业机械设计制造及其自动化 班级 学生姓名 学号 指导教师 完成日期

摘要:在日常的生活和工作中, 住宅与部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。随着科学技术的不断发展,人们对日常生活中的安全保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性,用密码代替钥匙的密码锁应运而生。密码锁具有安全性高、成本低、功耗低、易操作等优点。 在安全技术防范领域,具有防盗报警功能的电子密码锁逐渐代替传统的机械式密码锁,克服了机械式密码锁密码量少、安全性能差的缺点,使密码锁无论在技术上还是在性能上都大大提高一步。随着大规模集成电路技术的发展,特别是单片机的问世,出现了带微处理器的智能密码锁,它除具有电子密码锁的功能外,还引入了智能化管理、专家分析系统等功能,从而使密码锁具有很高的安全性、可靠性,应用日益广泛。 随着人们对安全的重视和科技的发展,许多电子智能锁(指纹识别、IC卡辨认)已在国内外相继面世。但是这些产品的特点是针对特定的指纹和有效卡,只能适用于保密要求的箱、柜、门等。而且指纹识识别器若在公共场所使用存在容易机械损坏,IC卡还存在容易丢失、损坏等特点。加上其成本较高,一定程度上限制了这类产品的普及和推广。鉴于目前的技术水平与市场的接收程度,电子密码锁是这类电子防盗产品的主流。 基于以上思路,本次设计使用 ATMEL公司的 AT89C51 实现一基于单片机的电子密码锁的设计,其主要具有如下功能: (1)密码通过键盘输入,若密码正确,则将锁打开。 (2)报警、锁定键盘功能。密码输入错误数码显示器会出现错误提示,若密码输入错误次数超过 3 次,蜂鸣器报警并且锁定键盘。

数字密码锁课程设计

数字密码锁课程设计报告 题目:数字密码锁课程设计 专业:通信工程 班级: 姓名: 指导教师: 电气工程系 2011年6月

摘要 数字密码锁越来越多的出现在我们生活之中,渐渐地取代了机械锁的地位,为进一步掌握数电知识,学习数字密码锁的设计原理本课程设计研究数字密码锁的实现方法,设计本课题主要有两种方案:一种是用利用单片机实现控制的方案;另一种是用以各种中规模集成电路构成的数字逻辑电路控制方案。考虑到单片机方案原理复杂,且与数字电子技术联系有限,所以本文采用以各种分立式元件及中规模集成电路构成的数字逻辑电路控制方案。 电子密码锁是一种通过密码输入来控制电路,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。本篇课程设计所实现的密码锁利用数字逻辑电路知识,来实现对锁的电子控制并且保证密码所有一定的防盗效果和实用性。本设计共设置了8个输入键,为简化电路,其中只有4个是有效的密码按键,其它的都是干扰按键,若按下干扰键,键盘输入电路自动清零,原先输入的密码无效,需要重新输入。此外,如果用户输入密码的时间过长,电路将自动响铃报警。

目录 第一章设计背景 (5) 第二章方案设计 (5) 2.1系统原理方框图 (5) 2.2设计思路 (5) 第三章元器件选择 (6) 3.1移位寄存器74LS164 (6) 3.2555芯片的介绍 (7) 3.374LS112芯片的介绍 (7) 第四章设计原理分析 (7) 4.1键盘输入修改电路 (7) 4.2密码检测电路 (8) 4.3执行电路 (9) 4.4报警电路 (10) 第五章电路设计 (11) 第六章. 设计总结 (13) 参考文献 (13)

基于AT24C02的简易电子密码锁说明书

湖南理工学院 单片微机原理课程设计说明书 设计题目:基于AT24C02的简易电子密码锁院部:机械学院 专业:机械电子工程 组长姓名: 学号: 组员姓名:学号: 学号: 学号:起迄日期: 2016 年 6月19日 2016 年 6月 30日 指导教师: 教研室主任:

目录 1 引言 (4) 1.1 电子密码锁简介 (4) 1.2 电子密码锁的发展趋势 (4) 1.3 本设计所要实现的目标 (5) 2 设计方案的选择 (5) 2.1 方案一:采用数字电路控制方案 (5) 2.2 方案二:采用无线遥控方式控制方案 (5) 2.3 方案三:采用以单片机为核心的控制方案 (5) 3 主要元器件介绍 (6) 3.1 主控芯片AT89S51 (6) 3.1.1 AT89S51性能简介 (6) 3.1.2 AT89S51引角功能说明 (7) 3.2 存储芯片AT24C02 (9) 3.3 LCD1602显示器 (10) 3.3.1 接口信号说明 (10) 3.3.2 主要技术参数 (11) 3.3.3 基本操作程序 (11) 3.4 晶体振荡器 (12) 4 系统硬件构成 (12) 4.1 设计原理 (12) 4.2 电路总体构成 (13)

4.4 密码存储部分 (14) 4.5 复位部位 (15) 4.6 晶振部分 (15) 4.7 显示部分 (15) 4.8 报警部分.......................................17错误!未定义书签。 4.9 开锁部分.......................................18 错误!未定义书签。 5 系统软件设计.....................................19 错误!未定义书签。 6 总结.............................................22错误!未定义书签。 参考文献............................................23错误!未定义书签。 附录一..............................................23错误!未定义书签。 附录二 .............................................33 错误!未定义书签。

相关文档
最新文档