卷积码

卷积码
卷积码

目录

目录 (1)

摘要 (2)

Abstract (3)

一、引言 (4)

1.1设计任务及要求 (4)

1.2设计仪器设备 (4)

1.3 设计目的 (4)

二、基本概念 (5)

2.1 纠错编码 (5)

2.2 卷积码的基本概念 (5)

2.3 卷积码编码的概述 (5)

2.4卷积码译码的概述 (5)

三、卷积码的编译码原理 (6)

3.1卷积码的图形描述 (6)

3.1.1 树状图 (7)

3.1.2 网格图 (8)

3.1.3 状态图 (8)

3.2 卷积积码的编码算法 (9)

3.3卷积码的Viterbi译码 (10)

四、卷积码的仿真及性能分析 (12)

4.1 SIMULINK仿真模块 (12)

4.2 卷积码的参数对误码率的影响 (13)

4.2.1 码率对误码性能的影响 (13)

4.2.2 约束长度对误码性能的影响 (14)

4.2.3 回溯长度对卷积码性能的影响 (16)

4.3 仿真分析 (18)

总结 (19)

参考文献: (20)

摘要

随着现代通信的发展,高速信息传输和高可靠性传输成为信息传输的两个主要方面,而可靠性尤其重要。卷积码以其高速性和可靠性在实际应用中越来越广泛。本文简明地介绍了卷积码的编码原理和译码原理。在MATLAB中的SIMULINK模块中设计卷积码的编码和译码的整个模块,调用该模块完成对误码率统计仿真。最后,通过在仿真过程中分别改变卷积码的重要参数来加深理解卷积码的这些参数对卷积码的误码性能的影响。经过仿真和实测,并对测试结果作了分析。得出了以下三个结论:(1)当改变卷积码的码率时,系统的误码性能也将随之发生变化。

(2)对于码率一定的卷积码,当约束长度N 发生变化时,系统的误码性能也会随之发生变化。

(3)回溯长度也会不同程度上地影响误码性能。

同时整个设计通过MATLAB仿真满足设计要求。

关键词:卷积码;误码性能;约束长度;MATLAB;回溯长度

Abstract

With the development of modern communications, high-speed information transmission and high reliability of transmission as the two main aspects of information transmission, and reliability are very important. Convolution code has a superior performance of the channel code. It is easy to coding and decoding. And it has a strong ability to correct errors. As correcting coding theory has a long development, the practice of convolution code is more and more extensive. In this thesis, the principle of convolution coding and decoding is introduced simply firstly. Designs the convolution code in the MATLAB SIMULINK module the code and the decoding entire module, transfers this module to complete to the error rate statistics simulation .Finally, in order to understand their performances of error rate, many changes in parameters of convolution code are calculated in the simulation process. After simulation and measure, an analysis of test results is presented. The following three conclusions are draw:

(1) When the rate of convolution Code changes, BER performance of the system will change.

(2) For certain convolution code rate, when the constraint length N change, the system BER can be changed.

(3) Retrospective length will affect BER.

The design also meet the design requirements by MATLAB simulation. Keywords: convolution code; BER; constraint length; MATLAB; retrospective length

一、引言

1.1设计任务及要求

信道编码是数字通信系统中的重要组成部分,他是保证信号可靠传输的一种重要方式.卷积码以其优越的性能被广泛使用在数字通信系统中。本课题要求掌握卷积码的组成、功能以及卷积码的译码及其算法,最后通过Matlab仿真,对卷积码的性能进行理论分析和实验仿真。整个设计需达到以下要求:

(1)画出卷积码的原理框图,说明系统中各主要组成部分的功能。

(2)根据选用的软件编好用于系统仿真的测试文件。

(3)给出仿真结果及进行分析。

(4)独立完成课程设计报告。

1.2设计仪器设备

电脑、MATLAB软件

1.3 设计目的

通过自己独立设计培养学生以下能力

(1)巩固加深对通信基本知识分析以及卷积码的掌握,提高综合运用通信知识的能力;

(2)培养学生查阅参考文献,独立思考、设计、钻研电子技术相关问题的能力;(3)掌握采用仿真软件对系统进行仿真分析。

(4)掌握相关电子线路工程技术规范以及常规电子元器件的性能技术指标;(5)了解电气图国家标准以及电气制图国家标准,并利用电子CAD等正确绘制电路图;

(6)培养严肃认真的工作作风与科学态度,建立严谨的工程技术观念;

(7)培养工程实践能力、创新能力和综合设计能力。

二、基本概念

2.1 纠错编码

因为信号在信道中不可避免会受到干扰而出错。为实现可靠性通信,主要有两种途径:一种是增加发送信号的功率,提高接收端的信号噪声比;另一种是采用编码的方法对信道差错进行控制。前者常常受条件限制,不是所有情况都能采用。编码理论可以解决这个问题,使得成本降低,实用性增强。

2.2 卷积码的基本概念

卷积码是一种性能优越的信道编码。(n ,k ,N) 表示把k个信息比特编成n个比特,N 为编码约束长度,说明编码过程中互相约束的码段个数。卷积码编码后的n 个码元不仅与当前组的k 个信息比特有关,而且与前N - 1 个输入组的信息比特有关[6]。编码过程中相互关联的码元有N ×n 个。R = k/ n 是卷积码的码率,码率和约束长度是衡量卷积码的两个重要参数[1]。

2.3 卷积码编码的概述

卷积码的编码描述方法有5 种:冲激响应描述法、生成矩阵描述法、多项式乘积描述法、状态图描述法和网格图描述法。卷积码的纠错能力随着N的增加而增大,而差错率随着N的增加而指数下降。在编码器复杂性相同的情况下,卷积码的性能优于分组码。分组码有严格的代数结构,但卷积码至今尚未找到如此严密的数学手段。分组码的译码算法可以由其代数特性得到。卷积码虽然可以采用适用于分组码的门限译码(即大数逻辑译码),但性能不如维特比译码和序列译码。

2.4卷积码译码的概述

卷积编码的最佳译码准则为:在给定已知编码结构、信道特性和接收序列的情况下,译码器将把与已经发送的序列最相似的序列作为传送的码字序列的估值。对于二进制对称

信道,最相似传送序列就是在汉明距离上与接收序列最近的序列。

卷积码的译码方法有两大类:一类是大数逻辑译码,又称门限译码(硬判决,编者注);另一种是概率译码(软判决,编者注),概率译码又分为维特比译码和序列译码两种。门限译码方法是以分组码理论为基础的,其译码设备简单,速度快,但其误码性能要比概率译码法差。

当卷积码的约束长度不太大时,与序列译码相比,维特比译码器比较简单,计算速度快。维特比译码算法是1967年由Viterbi 提出,近年来有大的发展。它是根据接收序列在码的格图上找出一条与接收序列距离(或其他量度)为最小的一种算法。目前在数字通信的前向纠错系统中用的较多,而且在卫星深空通信中应用更多,该算法在卫星通信中已被采用作为标准技术。

三、卷积码的编译码原理

3.1卷积码的图形描述

以图3-1的(3,1,3)卷积码编码器为例说明卷积码编码器的工作过程二它由

3触点转换开关和一组3位移存器及模2加法器组成每输入一个信息比特,经该编码器后产生3个输出比特。为方便起见,先假设该移位寄存器的起始状态全为零,当第一个输人比特为”0”时,输出比特为OO0;若第一个输人比特为I 时,则输出比特为111当输人第二比特时,第一比特右移一位。此时的输出比特显然与“当前输人比特和前一输人比特”有关当输人第成比特时,第一和第二比特皆右移一位,可看到此时的输出比特与“当前输入比特和前二位愉人比特”有关。当第四比特输人时,原第一输人比特已移出移位寄存器

S

出序列

m 1,m 2,…m j ,…

y 1,j

y 2,j

输入序列 3M 2M 1

M y 3,j

图3-1 (3,1,3)卷积码编码器

d

c

b

b

a

a 000

a

011 110 001

110 001 000

111

000 111 111

000 100 101 010 a b a b c c d

d a

d

c

b

b a

a 111

a 011 11

010

110 001 001

110 000 111 100

011 100 101 010 a b a b c c d

d 图3-3 (3,1,3)卷积码的树状图

而消失,即第一输人比特已不再影响当前的输人比特,如图3-2所示,以上编码器在移位过程中可能产生的各种序列,可用树状图、网格图或状态图来描述。

3.1.1 树状图

图3-3给出了(3,1,3)卷积码的树状图。按照习惯的做法。码树的起始节点

位于左边;移位寄存器的初始状态取00,取

12M M =00,用a 来表示,并把该a 标注于起始

节点处。当输人码元是0时,则由节点出发走上支路;当输人码元是1时.则由节点出发走下支路。例如,当该编码器第一输入比特为0时,则走上支路,此时移存器的输出码“000”就写在上支杈的上方;当该编码器第一输人比特为1时,则走下支路,此时移存器的输出码“111”就写在图中下支杈的上方。在输人第二比特时,移位寄存器右移一位,此时上支路情况下的移位寄存器的状态为00,即a ,并标注于上支路节点处;此时下支路情况下的移位寄存器状态为O1,即b ,并标注于下支路节点处;同时上下支路都将分两杈。以后每一个新输人比特都会使上下支路各分两杈。经过4个输人比特后,得到的该编码器的树状图如图3-3所示。树状图中,

节点上标注的a 表示12M M =00, b 表示12M M =O1,。C 表示12M M = 10, d 表示12M M =11。

t

输出

1m

21y 31y 2m 22y 32y 34y 3m 23y 33y 4m 24y 5m

输入

5m

t

1m 2m 3m 4m 图3-2 编码器输入-输出关系

3.1.2 网格图

由树状图看到,对于第j 个输人信息比特,相应出现有2j 条支路,且在3j N ≥=时树状图出现节点,自上而下重复取4种状态。又看到,当j 变大时,图的纵向尺寸越来越大。于是提出一种网格图,注意到码树状态的重复性,使图形变得紧凑。上例(3,1,3)码的网格图示于图3-4。网格图中,把码树中具有相同状态的节点合并在一起;码树中的上支路用实线表示,下支路用虚线表示;支路上标注的码元为输出比特;自上而下的4行节点分别表示a ,b,c,d 的四种状态。网格图中的状态,通常有1N z -种状态。从第N 个节点开始.图形开始重复,且完全相同。

3.1.3 状态图

当网格图达到稳定状态后,取出两个节点间的一段网格图,即得到图3-5( a)的状态转移图。此后,再把目前状态与下一节拍状态合并起来,即可得到图3-5(b)的最简的状态转移图,称之为卷积码状态图。

a

b

c

d

000 000 000 000 000 111

111

111 111 111 011

001

001

110

010

010

010

010

010

010

110 110 110 011

011

011

011

100

图3-4 (3,1,3)卷积码网格图

3.2 卷积积码的编码算法

卷积码的编码描述方法有5种:冲激响应描述法、生成矩阵描述法、多项式乘积描述法、状态图描述法和网格图描述法。本文采用的是冲击响应描述法编码思想,下面以n=2,k=1,N=3,(2,1,3)卷积码为例展开研究,其编码方式如图3-6所示

冲激响应,就是编码器对移入的单个“1”比特的响应(假设寄存器初始状态为0 0 0),当一位1通过图1的寄存器时,寄存器的内容为: 表一:寄存器内容 寄存器内容

分支字

1

0 100 1 1 010 1 0 001 1

1

输入序列:1 0 0

m 1,m 2,…m j ,…

1 0

输入序列

3M 2M 1M

图3-6(2,1,3)卷积码编码器

b

d

c

a

b

d

c a

100

011 110

001

010 101

111

000

a

000

a

d

c b

111 100 011

010 110 110 101

b

图3-5 (3,1,3)卷积码状态图

输出序列:1 1 1 0 1 1

输入“1”所对应的输出序列就是这个编码的响应,输入序列m=101时,对应的输出可按如下方式线性叠加时移输入“脉冲”得到。

由于可以通过将按时间移位的脉冲进行线性叠加,或者将输入序列和编码器的脉冲响应相卷积来产生输出编码,因此这种编码器称为卷积编码器。

表二:卷积编码器输出

输入m 输出

1 11 10 11

0 00 00 00

1 11 10 11

模2和11 10 00 10 11

3.3卷积码的Viterbi译码

Viterbi译码是最大似然译码,译码的任务是在树状图或网格图中选择一条路径,计算出各支路与接收到的信息序列的距离。从中选出距离最小的一条支路作为译码输出。这样选出来的输出序列和发送端发出的序列最为相似。换句话说,此序列出错的概率最低。因此,Viterbi译码是一种极大似然译码。如果发送L组信息比特,对于(n,k)的卷积码来说,可能发送的序列有2kL个,计算机或译码器需存储这些序列并进行比较,以找到码距最小的那个序列。当传信率和信息组数L较大时,使得译码器难以实现。VB算法则对上述概率译码(又称最大似然解码)傲了简化,以至成为了一种实用化的概率算法。它并不是在网格图上一次比较所有可能的2kL条路径(序列),而是接收一段,计算和比较一段,选择一段有最大似然可能的码段,从而达到整个码序列是一个有最大似然值的序列。

通常把可能的译码序列与接收序列之间的距离称为量度。Viterbi译码分为硬判决Viterbi译码和软判决Viterbi译码,他们之间惟一不同之处在于支路量度的计算方法。硬判决Viterbi译码以序列之间的汉明距离作为量度,适用于二进制对称信道(BSC);而软判决Viterbi译码则是将信道输出的信号进行Q(>2)电平量化,然后再进行Viterbi译码,这样充分利用了信道输出信号的信息,提高了译码的可靠性,是一种适用于离散无记忆信道(DMC)的译码方法。如图3-7和图3-8所示,以(2,1,3)卷积码说明维特比译码原理。

从图3-7中可以看出,序列m 是发送方卷积编码器的输入序列,即为通信系统要传输的数字信号,码字U 为序列m 按相应参数卷积编码后得到的码字,也是信道中传输的信号,接收码字Z 是U 加上信道噪声后在接收方接收到的码字序列。网格图的每个时间间隔内,标注的是各个分支上接收码元Z 和编码器网格图相应各个分支上分支字之间的汉明距离即分支路径距离(Branch Metric)。Viterbi 译码算法正是利用了编码网格图的特殊结构,计算网格图上在时刻ti 到达各个状态的路径和接收序列之间的相似度(Measureof Similarity),即上面标注的分支路径距离,经过“加一比一选”操作,去除不可能成为最大似然选择对象的网格图上的路径。即如果两条路径到达同一状态,则选择具有最小路径距离的路径,这条路径被称为幸存路径(Surviving Path)。对所有的状态进行这样的路径操作,译码器不断在网格图上深入,根据最后得到的幸存路径实现最终判决。由于较早的抛弃了不可能的路径,从而降低了译码器的复杂性。由上述可见,译码器的运行是前向的、无反馈的。他接收一段,计算一段,选择一段最可能的码段(分支),从而达到整个码序列是一个有最大似然函数的序列。

由图3-7给出了前6个时刻各个分支路径距离,得在码的第6时刻的一条幸存路径,如图3-8所示。由此看到译码器输出是Z' =1101010001,即可变换成序列(11011),恢复了发端原始信息。比较Z'和Z 序列,可以看到在译码过程中已纠正了在码序列第4位上的差错。

分支路 经距离

1 2

a=00

b=10

c=01

d=11

t1

t2

t 3 t 4 t 5 t 6

2 1 1 1 1

1

1

1

1 1

1

1 0

2

0 2

2 0

2 0 1

1 2

2 0 输入数据序列 1 1 0 11 01 01 01 00 1 1 发送码字 接收序列

01

01

10

01

Z

U m 11 状态

图3-7 (2,1,3)Viterbi 译码器的网格图

四、卷积码的仿真及性能分析

4.1 SIMULINK 仿真模块

通过MATLAB 下的SIMULINK 仿真,首先建立卷积的仿真模块并组合起来如图4-1所示

如图4-1所示,信源由Bernoulli Binary Generator (贝努利二进制序列产生器)产生一个0,1等概序列,经过卷积码编码器对输入信号进行卷积编码,并用BPSK 调制方式调制信号。加入高斯白噪声做为信道噪声后在经过BPSK 接调器后送入Viterbi Decoder (Viterbi 译码器)进行硬判决译码。最后经过Error Rate Calculation (误码统计)后

a

b

c

d

11

01

01

t1

t2

t3

t4 t5 t6

00

01

图3-8 第六时刻幸存路径

图4-1 卷积码的编译码模块图

由Display(显示)输出。然后通过Selector(数据选通器)将结果输出到To workspace (工作区间)。

4.2 卷积码的参数对误码率的影响

4.2.1 码率对误码性能的影响

下面图4-9是通过改变卷积码的码率为1/2和1/3而得到的二条对比曲线。上面的一条曲线是码率为1/2,下面的是码率为1/3。卷积码的码率R = k/ n,他是卷积码的一个重要参数,当改变卷积码的码率时,系统的误码性能也将随之发生变化。从图4-9中的误比特率曲线可以看出,当码率一定时,随着信道噪声的逐渐减小,系统的误比特率也逐渐减小,当改变系统码率时,随着卷积码码率的逐渐提高,系统的误比特率也呈现出增大的趋势,也就是说码率越低,系统的误比特率就越小,误码性能就越好。

分析不同码率对卷积码误码性能的影响时用到的程序如下:

x=0:5; %x表示信噪比

y=x; %y表示信号的误比特率

hold off; %准备一个空白图形

for i=1:length(x) %重复运行convh.mdl,检验不同条件下硬判决译码的性能 SNR=x(i); %信道的信噪比依次取x中的元素

sim('yima'); %运行仿真程序,得到的误比特率保存在工作区变量BitErrorRate中

y(i)=mean(BitErrorRate); %计算BitErrorRate的均值作为本次仿真的误比特率end

semilogy(x,y); %绘制x和y的关系曲线图,纵坐标采用对数坐标

hold on; %保持已经绘制的图形

%修改SIMULINK模块参数,重复运行,检验不同码率对硬判决译码的性能

for i=1:length(x)

SNR=x(i);

sim('yima');

y(i)=mean(BitErrorRate);

end

semilogy(x,y);

4.2.2 约束长度对误码性能的影响

如下图4-10,对于码率一定的卷积码,当约束长度N 发生变化时,系统的误码性能也会随之发生变化, 我们以码率R = 1/ 2的(2 ,1 ,3)和(2,1,7)卷积码为例展开分析。上面的曲线是(2,1,3)卷积码的误码性能曲线。下面的曲线是(2,1,7)卷积码的误码性能曲线。从图4-4中的误比特率曲线可以清楚地看到,随着约束长度的逐渐增加,系统的误比特率明显降低,所以说当码率一定时,增加约束长度可以降低系统的误比特率,但是随着约束长度的增加,译码设备的复杂性也会随之增加,所以对于码率为1/ 2 的卷积码,我们在选取约束长度时一般为3~9 。

图4-10 不同约束长度对卷积码误码性能的影响

分析不同约束长度对卷积码误码性能影响用到的程序如下:

x=0:5; %x表示信噪比

y=x; %y表示信号的误比特率

hold off; %准备一个空白图形

for i=1:length(x) %重复运行convh.mdl,检验不同条件下硬判决译码的性能 SNR=x(i); %信道的信噪比依次取x中的元素

sim('yima'); %运行仿真程序,得到的误比特率保存在工作区变量BitErrorRate中 y(i)=mean(BitErrorRate); %计算BitErrorRate的均值作为本次仿真的误比特率end

semilogy(x,y); %绘制x和y的关系曲线图,纵坐标采用对数坐标

hold on; %保持已经绘制的图形

%修改SIMULINK模块参数,重复运行,检验不同约束长度对硬判决译码的性能for i=1:length(x)

SNR=x(i);

sim('yima');

y(i)=mean(BitErrorRate);

end

semilogy(x,y);

4.2.3 回溯长度对卷积码性能的影响

下面将以(2,1,7)卷积码来建立模块仿真。将译码模块中的Traceback depth分别设置为20,35,45并在一个图中画出这三种方式下的误码性能曲线得到下图4-8。从上到下的三条曲线分别是Traceback depth为20,35,45。可以看出:回溯长度是在Viterbi 译码过程中一个很重要的参数,他决定了译码延迟,随着他的不断变化,误码性能也随误比特率曲线可以清楚地看到,当回溯长度一定时,随着信道噪声的逐渐减小,系统的误比特率逐渐降低;当回溯长度逐渐增加,系统的误比特率随之逐渐降低,但是当回溯程度τ增加到τ≥5 N 时( N 为编码的约束长度) ,误比特率数值趋于稳定,因此,在确定回溯长度时既要考虑到随着τ的增加误比特率随之降低的趋势,也要考虑到译码延迟会变大,在选取回溯长度时,通常取τ= 5 N。

图4-8 不同回溯长度对误码性能的影响

分析不同回溯长度对卷积码误码性能的影响时用到的程序如下:

x=-10:5; %x表示信噪比

y=x; %y表示信号的误比特率

hold off; %准备一个空白图形

for i=1:length(x) %重复运行convh.mdl,检验不同条件下硬判决译码的性能 SNR=x(i); %信道的信噪比依次取x中的元素

sim('yima'); %运行仿真程序,得到的误比特率保存在工作区变量BitErrorRate中 y(i)=mean(BitErrorRate); %计算BitErrorRate的均值作为本次仿真的误比特率

end

semilogy(x,y); %绘制x和y的关系曲线图,纵坐标采用对数坐标

hold on; %保持已经绘制的图形

%修改SIMULINK模块参数,重复运行,检验不同回溯长度对硬判决译码的性能 for i=1:length(x)

SNR=x(i);

sim('yima');

y(i)=mean(BitErrorRate);

end

semilogy(x,y);

hold on;

for i=1:length(x)

SNR=x(i);

sim('yima');

y(i)=mean(BitErrorRate);

end

semilogy(x,y

4.3 仿真分析

在利用MATLAB仿真分析时,遇到以下问题:

(1) 对SIMULINK整体模块仿真时报告模块出错;

(2) 利用函数调用模块时显示不能找到相应的模块。

解决办法:

(1) 对于问题(1),需要根据要求修改仿真模块的参数即可;

(2) 对于问题(2),由于调用函数中调用该模块的名字与模块定义的名字不一样,故出现错误。只需修改两者中任何一个名字使得两个名字一样即可。

总结

通过本次课题的研究,针对Viterbi算法在理论和实现两方面分别进行了较深的研究。理论方面,在深入了解了用于卷积码的Viterbi译码算法基本原理后,进一步将其拓展到SIMULINK模块仿真方面的应用,主要给出了基于Matlab的卷积编码和Viterbi算法应用过程。在实现方面,本文重点研究的是用SIMULINK实现不同码率;不同约束长度;不同回溯长度下的卷积码的误码性能的对比研究.最后仿真得到对比图,达到了研究的预期目的。

经过自己的努力和侯老师的耐心指导,毕业设计顺利按时完成。它是对我们把所学的专业理论知识运用到实践中的一次系统的检验。从接到题目到设计结束的过程中经历了很多,总的来说可以概括为以下几点。

(1)设计中要用到的MATLAB仿真软件是我第一次接触,所以刚接到题目时无从下手,后来通过上网和借助图书馆的书籍,学习这门新的软件,学习过程中遇到很多困难,但通过自己的努力和老师的帮助,最终掌握了仿真的基本方法。

(2)刚开始在设计的步骤和方法上比较混乱,后通过借阅各种资料和请教老师,有了明确的工作方向和清晰的设计步骤,使我能在更短的时间内很好的完成了本次毕业设计。

由于时间限制,本文的工作在很多方面未能更深入地研究下去。对于MATLAB 的应用及卷积码的编译原理有必要进一步的研究。

参考文献:

[1] 樊昌信等.《通信原理》(第五版).长沙:国防工业出办社,2002

[2] Timothy Pratt等编,甘良才等译.《卫星通信》(第二版).北京:电子工业出版社,2005

[3] 刘国梁等.《卫星通信》.西安:西安电子科技大学出版社,2003

[4] 宋万杰,罗丰,等.《CPLD技术及其应用》[M].西安:西安电子科技大学出版社.2000

[5] 邓华等.《MATLAB通信仿真机应用实列详解》.人民邮电出版社.2003

[6] 陈运,周亮,陈新编著.《信息论与编码》.电子工业出版社.2003

[7] 张葛祥,李娜编著.《MATLAB仿真技术与应用》.清华大学出版社.2003

移动通信实验线性分组码卷积码实验

实验二抗衰落技术实验(4学时) 1.线性分组码实验 2.卷积码实验 姓名: 学号: 班级: 日期: 成绩:

1、线性分组码实验 一、实验目的 了解线性分组码在通信系统中的意义。 掌握汉明码编译码及其检错纠错原理,理解编码码距的意义。二、实验模块 主控单元模块 2号数据终端模块 4号信道编码模块 5号信道译码模块 示波器 三、实验原理

汉明码编译码实验框图 2、实验框图说明 汉明码编码过程:数字终端的信号经过串并变换后,数据进行了分组,分组后的数据再经过汉明码编码,数据由4bit变为7bit。 注:为方便对编码前后的数据进行对比观测,本实验中加入了帧头指示信号。帧头指示信号仅用于线性分组码编码时将输入信号的比特流进行分组,其上跳沿指示了分组的起始位置。 四、实验步骤 (注:实验过程中,凡是涉及到测试连线改变或者模块及仪器仪表的更换时,都需先停止运行仿真,待连线调整完后,再开启仿真进行后续调节测试。) 任务一汉明码编码规则验证 概述:本项目通过改变输入数字信号的码型,观测延时输出,编码输出及译码输出,验证汉明码编译码规则。 1、登录e-Labsim仿真系统,创建实验文件,选择实验所需模块和示波器。 2、按表格所示进行连线。 3、调用示波器观测2号模块的DoutMUX和4号模块的编码输出TH4编码数据,

6、此时系统初始状态为:2号模块提供32K编码输入数据,4号模块进行汉明码编码,无差错插入模式,5号模块进行汉明码译码。 7、实验操作及波形观测。 0000 0001 0010

0100 0101

0111 1000

卷积码在通信系统中的应用

卷积码在通信系统中的应用 一、基本概念 卷积码是一种性能优越的信道编码。(n,k,N)表示把k个信息比特编程n 个比特,N为编码约束长度,说明编码过程中互相约束的码段个数。卷积码编码后的n个码元不仅与当前组的k个信息比特有关,而且与前N-1个输入组的信息比特有关。编码过程中相互关联的码元有N乘以n个。R/n是卷积码的码率,码率和约束长度是衡量卷积码的两个重要参数。 二、应用 卷积码因其编码器he译码器都比较容易实现,同时具有较强的纠错能力,卷积码在通信系统中被广泛采用。 2.1、卷积码在无线通信中的应用 在无线信道中,由于环境的影响及外来无线信号的干扰,通信质量较有线信道差许多。接收机收到的数据会出现随机噪声引起的随机错误和衰弱引起的突发错误,为了提高系统的抗噪声和抗衰弱性能,必须设计合理的信道编译码部分,增加码字的冗余度和码字间的码距,而且要求不仅可以纠正随机错误,更重要的是还可以纠正突发错误。因此,在无线通信中,信道编码得到了广泛的应用。这里将以NRF401芯片为通信收发电路来介绍卷积码在无线通信中的应用。NRF401收发电路芯片,采用蓝牙核心技术,芯片内部包含了高频发射、高频接收、PLL合成、FSK调制、FSK解调、多频道切换等功能。 如上图所示,这里介绍了一个采用(2,1,7)的卷积码编码,图为无线通信系统的结构。

无线通信系统的通信距离与传输损耗和接收机灵敏度相关。传输损耗包括自由空间损耗和其他传输损耗,自由空间传播系指天线周围为无限大真空时的电波传播,它是理想传播条件,自由空间传播损耗与距离和工作频率有关。下面的公式说明在自由空间下电波传播的损耗: Los是传播损耗,单位为dB;d是距离,单位是km;f是工作频率,单位是MHz。 由上式可见,自由空间中的电波传播损耗只与工作频率f和传播距离d有关,当f或d增大1倍时,Los讲分别增加6dB。 下面举例nRF401采用环形低增益天线时的通信距离R的计算: f0=434MHz() 发射功率 发射天线增益 接收天线增益 接收机灵敏度S=-105dBm 这是理想状况下的传输距离,实际应用中低于该值,这是因为无线通信受到各种外界因素的影响,如大气、阻挡物、多径等造成的损耗,将上述损耗的参考值计入上式中,即可计算出近似通信距离。实际应用时,在50m传输距离内有效。 由上表可知(7,1,2)卷积码有3.8dB的编码增益,相当于接收机的灵敏度可提高3.8dB,故此S=-108.8dBm,Lp=S-Pt-=-74.8dB,可推算出在卷积码

卷积码 - 副本

卷积码的维特比译码的性能 专业年级:07级通信工程3班 学号:0706020306 指导教师:李岳衡 姓名: 陈慧 2011-06 中国南京

摘要 本文基于信道编码的基本理论,重点讨论了卷积码的基本概念,对于卷积码的编码的基本理论和技术也进行了详细的阐述。本文的重点是卷积码的viterbi 译码算法的研究。 关键词:卷积码viterbi算法软硬判决误比特率

Abstract This paper discusses the basic theory of channel coding, and two ways of channel coding are expounded. Mainly discusses the basic concept of convolution code for convolution code, the basic theory and technology coding and in detail. This paper focuses on the soft and hard verdict is convolution code viterbi decoding algori Keywords:convolutional channel code vietrbi algorithm soft-decision and hard-decision the error rate

viterbi译码的性能 为了定量的估计卷积码的性能,需要计算出卷积码的错误概率,这种计算比较困难,所以一般只给出卷积码译码错误概率的上限。卷积码的性能由编码方法决定,而实际能否达到该性能还与译码方法有关。在等概的情况下,viterbi算法是最佳的译码方法,所以本节讨论viterbi的软硬判决下卷积码的性能。 估计卷积码性能的方法一般有如下几种: 10可以采用这种方法,但是当误码率比 ①计算机模拟。如果误码率大于6- 较小的时候,计算机计算时间过长,导致无法计算。 ②近似公式计算。 ③估算性能的渐近线公式。如果信噪比越大,则实际的性能离渐近线越近,误差就比较小。 (一)性能影响因素 卷积码的性能受到很多因素的影响,如卷积码的编码中的码率,约束长度,还要受到译码中回溯长度的影响。 1)码率对误码性能的影响 卷积码的码率R=k/n,是卷积码的一个重要参数,当卷积码的码率改变时,系统的误码性能也将随之发生变化。在码率一定的条件下,随着信道噪声的逐渐减小,系统的误比特率也逐渐减小;在信道噪声一定的情况下,改变系统码率时,随着卷积码码率的逐渐提高,系统的误比特率也呈现出增大的趋势,也就是说码率越低,系统的误比特率就越小,误码性能就越好。然而,信道带宽和译码器的复杂性也将相应地增加。对于二进制对称信道,当采用BPSK 调制方式时,通常选取的码率为1/2。 2)约束长度对误码性能的影响 对于码率一定的卷积码,当约束长度N 发生变化时,系统的误码性能也

卷积码的编解码Matlab仿真

卷积码的编解码Matlab仿真摘要 卷积码是一种性能优越的信道编码。它的编码器和译码器都比较容易实现,同时它具有较强的纠错能力D随着纠错编码理论研究的不断深入,卷积码的实际应用越来越广泛。本文简明地介绍了卷积码的编码原理和译码原理o并在SIMULINK模块设计中,完成了对卷积码的编码和译码以及误比特统计整个过程的模块仿真。最后,通过在仿真过程中分别改变卷积码的重要参数来加深理解卷积码的这些参数对卷积码的误码性能的影响。经过仿真和实测,并对测试结果作了分析。得出了以下三个结论z (1)当改变卷积码的码率时,系统的误码性能也将随之发生变化。 (2)对于码率一定的卷积码,当约束长度N发生变化时,系统的误码性能也会随之发生变化。 (3)回溯长度也会不同程度上地影响误码性能。 关键词:卷积码:码率:约束长度:回溯长度

Simulation and Research on Encoding and Decoding of Convolution Code Abstract Convolution code has a superior performance of the channel code. It is easy to coding and decoding.An d it has a strong ability to correct e盯ors. As correcting coding theory has a long development,the practice of convolution code is more and more extensive.In由1S由esis,the principle of convolution coding and decoding is introduced simply白rstly. Then由e whole simulation module process of encoding,decoding and the Error Rate Calculation is completed in由is design. Finally,in order to understand 由eir performances of error rate,many changes in parameters of convolution code are calculated in the simulation process.Af ter simulation and me皿UTe,an analysis of test results is presented.Th e following由ree conclusions are draw: (l)Wh en the rate of convolution Code ch皿ges,HER performance of the systemwill change. (2) For a certain rate of convolution code,when由ere is a change in the constraint length of N,BER perfonnance of由e system will change. (3) Re位ospec咀ve length will affect BE R. Key words: convolution code; rate; cons缸aint leng由; retrospective length;

实验九 (2,1,5)卷积码编码译码技术

实验九 (2,1,5)卷积码编码译码技术 一、实验目的 1、掌握(2,1,5)卷积码编码译码技术 2、了解纠错编码原理。 二、实验内容 1、(2,1,5)卷积码编码。 2、(2,1,5)卷积码译码。 三、预备知识 1、纠错编码原理。 2、(2,1,5)卷积码的工作原理。 四、实验原理 卷积码是将发送的信息序列通过一个线性的,有限状态的移位寄存器而产生的编码。通常卷积码的编码器由K级(每级K比特)的移位寄存器和n个线性代数函数发生器(这里是模2加法器)组成。 若以(n,k,m)来描述卷积码,其中k为每次输入到卷积编码器的bit数,n 为每个k元组码字对应的卷积码输出n元组码字,m为编码存储度,也就是卷积编码器的k元组的级数,称m+1= K为编码约束度m称为约束长度。卷积码将k 元组输入码元编成n元组输出码元,但k和n通常很小,特别适合以串行形式进行传输,时延小。与分组码不同,卷积码编码生成的n元组元不仅与当前输入的k元组有关,还与前面m-1个输入的k元组有关,编码过程中互相关联的码元个数为n*m。卷积码的纠错性能随m的增加而增大,而差错率随N的增加而指数下降。在编码器复杂性相同的情况下,卷积码的性能优于分组码。 编码器 随着信息序列不断输入,编码器就不断从一个状态转移到另一个状态并同时输出相应的码序列,所以图3所示状态图可以简单直观的描述编码器的编码过程。因此通过状态图很容易给出输入信息序列的编码结果,假定输入序列为110100,首先从零状态开始即图示a状态,由于输入信息为“1”,所以下一状态为b并输出“11”,继续输入信息“1”,由图知下一状态为d、输出“01”……其它输入信息依次类推,按照状态转移路径a->b->d->c->b->c->a输出其对应的编码结果“110101001011”。 译码方法 ⒈代数 代数译码是将卷积码的一个编码约束长度的码段看作是[n0(m+1),k0(m+1)]线性分组码,每次根据(m+1)分支长接收数字,对相应的最早的那个分支上的信息数字进行估计,然后向前推进一个分支。上例中信息序列 =(10111),相应的码序列 c=(11100001100111)。若接收序列R=(10100001110111),先根据R 的前三个分支(101000)和码树中前三个分支长的所有可能的 8条路径(000000…)、(000011…)、(001110…)、(001101…)、(111011…)、(111000…)、(110101…)和(110110…)进行比较,可知(111001)与接收

卷积信号实验报告

信号与系统上机实验报告一连续时间系统卷积的数值计算 140224 班张鑫学号 14071002 一、实验原理 计算两个函数的卷积 卷积积分的数值运算实际上可以用信号的分段求和来实现,即: 如果我们只求当 t = n? t1 是r ( t )的值,则由上式可以得到: ?t足够小时,r(t2)就是e(t)和f(t)卷积积分的数值近似值由上面的公式可 当1 以得到卷积数值计算的方法如下: (1)将信号取值离散化,即以为周期,对信号取值,得到一系列宽度间隔为 的矩形脉冲原信号的离散取值点,用所得离散取值点矩形脉冲来表示原来的连续时间信号; (2)将进行卷积的两个信号序列之一反转,与另一信号相乘,并求积分,所得为t=0时的卷积积分的值。以为单位左右移动反转的信号,与另一信号相乘求积 分,求的t<0和t>0时卷积积分的值; (3)将所得卷积积分值与对应的t标在图上,连成一条光滑的曲线,即为所求卷积积分的曲线。 1

信号与系统上机实验报告一二、处理流程图 三、C程序代码 #include"stdafx.h" #include"stdio.h" //#include "stdilb.h" float u(float t) { while (t>= 0) return(1); while (t<0) return(0); } float f1(float t) { return(u(t+2)-u(t-2)); } float f2(float t) { return(t*(u(t)-u(t-2))+(4-t)*(u(t-2)-u(t-4))); } int_tmain(int argc, _TCHAR* argv[]) {

卷积编码实验报告

实验名称:___ 卷积编码_______ 1、使用MATLAB进行卷积编码的代码编写、运行、仿真等操作; 2、熟练掌握MATLAB软件语句; 3、理解并掌握卷积编码的原理知识。 二、实验原理 卷积码是由Elias于1955 年提出的,是一种非分组码,通常它更适用于前向纠错法,因为其性能对于许多实际情况常优于分组码,而且设备较简单。 卷积码的结构与分组码的结构有很大的不同。具体地说,卷积码并不是将信息序列分成不同的分组后进行编码,而是将连续的信息比特序列映射为连续的编码器输出符号。卷积码在编码过程中,将一个码组中r 个监督码与信息码元的相关性从本码组扩展到以前若干段时刻的码组,在译码时不仅从此时刻收到的码组中提取译码信息,而且还可从与监督码相关的各码组中提取有用的译码信息。这种映射是高度结构化的,使得卷积码的译码方法与分组译码所采用的方法完全不同。可以验证的是在同样复杂度情况下,卷积码的编码增益要大于分组码的编码增益。对于某个

特定的应用,采用分组码还是卷积码哪一种更好则取决于这一应用的具体情况和进行比较时可用的技术。 (一)卷积编码的图形表示 卷积码的编码器是由一个有k 个输人位,n 个输出位,且有m 个移位寄存器构成的有限状态的有记忆系统,其原理如图1所示。 图1 卷积码编码器的原理图 描述这类时序网络的方法很多,它大致可分为两大类型:解析表示法与图形表示法。在解析法中又可分为离散卷积法、生成矩阵法、码多项式法等;在图形表示法中也可分为状态图法、树图法和网络图法等。 图2给出的是一个生成编码速率为1/2 卷积码的移位寄存器电路。输人比特在时钟触发下从左边移人到电路中,每输入一位,分别去两个模2加法器的输出值并复用就得到编码器的输出。对这一编码,每输入一比特就产生两个输出符号,故编码效率为

Quartus实验三,212卷积码和计数器

课程名称通信系统集成电路设计 实验名称Quartus II实验三 专业班级 姓名 学号 日期 ______ 2012.1.2 __________

实验三: 212卷积码,十进制计数器 1. 实验目的 a) 掌握在FPGA 上实现212卷积码编码器的方法; b) 用原理图的方法实现十进制加法计数器。 2. 实验环境 a) Quartus II 9.1 (32-Bit) b) ModelSim-Altera 6.5a (Quartus II 9.1) c) WinXP 操作系统 3. 实验要求 1) 212卷积码编码器 编写生成212卷积码编码器的程序,仿真后观察波形。 2) 十进制加法同步计数器 首先用VHDL 语言描述十进制加法计数器,然后画出它的原理图。 3) 思考题 16进制的计数器与PN4的电路图有什么区别。 4. 实验内容 1)212卷积码 卷积码编码器对输入的数据流每次1bit 或k bit 进行编码,输出n bit 编码符号。但是输出的分支码字的每个码元不仅与此时可输入的k 个bit 有关,也与前m 个连续式可输入的信息有关,因此编码器应包含m 级寄存器以记录这些信息。 通常卷积码表示为 (n,k,m). 编码率 k r n = 当k=1时,卷积码编码器的结构包括一个由m 个串接的寄存器构成的移位寄存器(成为m 级移位寄存器、n 个连接到指定寄存器的模二加法器以及把模二加法器的输出转化为穿行的转换开关。 本报告所讲的(2,1,2)卷积码是最简单的卷积码。就是2n =,1k =,m=2的卷积码。每次输入1 bit 输入信息,经过2级移位寄存器,2个连接到指定寄存器的模二加法器,并把加法器输出转化为串行输出。

基于MATLAB的卷积码的分析与应用

基于MATLAB的卷积码的分析与应用

毕业设计(论文)任务书

基于MATLAB的卷积码的分析与应用 摘要 随着现代通信的发展,特别是在未来4G通信网络中,高速信息传输和高可靠性传输成为信息传输的两个主要方面,而可靠性尤其重要。因为信道状况的恶劣,信号不可避免会受到干扰而出错。为实现可靠性通信,主要有两种途径:一种是增加发送信号的功率,提高接收端的信号噪声比;另一种是采用编码的方法对信道差错进行控制。前者常常受条件限制,不是所有情况都能采用。因此差错控制编码得到了广泛应用。 介绍了多种信道编码方式,着重介绍了卷积码的编码方法和解码方式。介绍了MATLAB的使用方法、编程方法、语句、变量、函数、矩阵等。介绍了TD-SCDMA通信系统和该系统下的卷积码,搭建了系统通信模型。编写卷积码的编码和解码程序。用MATLAB仿真软件对TD-SCDMA系统的卷积码编解码进行仿真。对其纠正错码性能进行验证,并且对误码率进行仿真和分析。卷积码的编码解码方式有很多,重点仿真Viterbi算法。Viterbi算法就是利用卷积码编码器的格图来计算路径度量,选择从起始时刻到终止时刻的惟一幸存路径作为最大似然路径。沿着最大似然路径回溯到开始时刻,所走过的路径对应的编码输出就是最大似然译码输出序列。它是一种最大似然译码方法,当编码约束长度不大、或者误码率要求不是很高的情况下,Viterbi译码器设备比较简单,计算速度快,因而Viterbi译码器被广泛应用于各种领域。 关键词:卷积码;信道编码;TD-SCDMA;MATLAB

目录 毕业设计(论文)任务书 ............................................................................................I 摘要........................................................................................................................... II Abstract......................................................................................... 错误!未定义书签。第1章绪论 . (1) 1.1课题研究的背景和来源 (1) 1.2主要内容 (2) 第2章相关理论介绍 (3) 2.1信道编码 (3) 2.1.1 信道编码的分类 (3) 2.1.2 编码效率 (3) 2.2线性分组码 (3) 2.3循环码 (5) 2.4卷积码 (6) 2.4.1 卷积码简介 (7) 2.4.2 卷积码的编码 (7) 2.4.3 卷积码的解码 (13) 第3章MATLAB应用 (21) 3.1数和算术的表示方法 (21) 3.2向量与矩阵运算 (21) 3.2.1 通过语句和函数产生 (21) 3.2.2 矩阵操作 (22) 3.3矩阵的基本运算 (22) 3.3.1 矩阵乘法 (22) 3.3.2 矩阵除法 (23) 3.4MATLAB编程 (23) 3.4.1 关系运算 (23) 3.4.2 控制流 (25) 第4章卷积码的设计与仿真 (27) 4.1TD-SCDMA系统 (27) 4.1.1 系统简介 (27) 4.1.2 仿真通信系统模型 (27)

MATLAB实现卷积码编译码-

本科生毕业论文(设计) 题目:MATLAB实现卷积码编译码 专业代码: 作者姓名: 学号: 单位: 指导教师: 年月日

目录 前言----------------------------------------------------- 1 1. 纠错码基本理论---------------------------------------- 2 1.1纠错码基本理论 ----------------------------------------------- 2 1.1.1纠错码概念 ------------------------------------------------- 2 1.1.2基本原理和性能参数 ----------------------------------------- 2 1.2几种常用的纠错码 --------------------------------------------- 6 2. 卷积码的基本理论-------------------------------------- 8 2.1卷积码介绍 --------------------------------------------------- 8 2.1.1卷积码的差错控制原理----------------------------------- 8 2.2卷积码编码原理 ---------------------------------------------- 10 2.2.1卷积码解析表示法-------------------------------------- 10 2.2.2卷积码图形表示法-------------------------------------- 11 2.3卷积码译码原理---------------------------------------------- 15 2.3.1卷积码三种译码方式------------------------------------ 15 2.3.2V ITERBI译码原理---------------------------------------- 16 3. 卷积码编译码及MATLAB仿真---------------------------- 18 3.1M ATLAB概述-------------------------------------------------- 18 3.1.1M ATLAB的特点------------------------------------------ 19 3.1.2M ATLAB工具箱和内容------------------------------------ 19 3.2卷积码编码及仿真 -------------------------------------------- 20 3.2.1编码程序 ---------------------------------------------- 20 3.3信道传输过程仿真-------------------------------------------- 21 3.4维特比译码程序及仿真 ---------------------------------------- 22 3.4.1维特比译码算法解析------------------------------------ 23 3.4.2V ITERBI译码程序--------------------------------------- 25 3.4.3 VITERBI译码MATLAB仿真----------------------------------- 28 3.4.4信噪比对卷积码译码性能的影响 -------------------------- 28

卷积码实验报告

苏州科技大学天平学院电子与信息工程学院 信道编码课程设计报告 课设名称卷积码编译及译码仿真 学生姓名圣鑫 学号1430119232 同组人周妍智 专业班级通信1422 指导教师潘欣欲 一、实验名称 基于MAATLAB的卷积码编码及译码仿真 二、实验目的 卷积码就是一种性能优越的信道编码。它的编码器与译码器都比较容易实现,同时它具有较强的纠错能力。随着纠错编码理论研究的不断深入,卷积码的实际应用越来越广泛。本实验简明地介绍了卷积码的编码原理与Viterbi译码原理。并在SIMULINK模块设计中,完成了对卷积码的编码与译码以及误比特统计整个过程的模块仿真。最后,通过在仿真过程中分别改变卷积码的重要参数来加深理解卷积码的这些参数对卷积码的误码性能的影响。经过仿真与实测,并对测试结果作了分析。 三、实验原理

1、卷积码编码原理 卷积码就是一种性能优越的信道编码,它的编码器与解码器都比较易于实现,同时还具有较强的纠错能力,这使得它的使用越来越广泛。卷积码一般表示为(n,k,K)的形式,即将 k个信息比特编码为 n 个比特的码组,K 为编码约束长度,说明编码过程中相互约束的码段个数。卷积码编码后的 n 各码元不经与当前组的 k 个信息比特有关,还与前 K-1 个输入组的信息比特有关。编码过程中相互关联的码元有 K*n 个。R=k/n 就是编码效率。编码效率与约束长度就是衡量卷积码的两个重要参数。典型的卷积码一般选 n,k 较小,K 值可取较大(>10),但以获得简单而高性能的卷积码。 卷积码的编码描述方式有很多种:冲激响应描述法、生成矩阵描述法、多项式乘积描述法、状态图描述,树图描述,网格图描述等。 2、卷积码Viterbi译码原理 卷积码概率译码的基本思路就是:以接收码流为基础,逐个计算它与其她所 有可能出现的、连续的网格图路径的距离,选出其中可能性最大的一条作为译码估值输出。概率最大在大多数场合可解释为距离最小,这种最小距离译码体现的正就是最大似然的准则。卷积码的最大似然译码与分组码的最大似然译码在原理上就是一样的,但实现方法上略有不同。主要区别在于:分组码就是孤立地求解单个码组的相似度,而卷积码就是求码字序列之间的相似度。基于网格图搜索的译码就是实现最大似然判决的重要方法与途径。用格图描述时,由于路径的汇聚消除了树状图中的多余度,译码过程中只需考虑整个路径集合中那些使似然函数最大的路径。如果在某一点上发现某条路径已不可能获得最大对数似然函数,就放弃这条路径,然后在剩下的“幸存”路径中重新选择路径。这样一直进行到最后第 L 级(L 为发送序列的长度)。由于这种方法较早地丢弃了那些不可能的路径,从而减轻了译码的工作量,Viterbi 译码正就是基于这种想法。对于(n, k, K )卷积码,其网格图中共 2kL 种状态。由网格图的前 K-1 条连续支路构成的路径互不相交,即最初 2k_1 条路径各不相同,当接收到第 K 条支路时,每条路径都有 2 条支路延伸到第 K 级上,而第 K 级上的每两条支路又都汇聚在一个节点上。在Viterbi译码算法中,把汇聚在每个节点上的两条路径的对数似然函数累加

卷积码

目录 目录 (1) 摘要 (2) Abstract (3) 一、引言 (4) 1.1设计任务及要求 (4) 1.2设计仪器设备 (4) 1.3 设计目的 (4) 二、基本概念 (5) 2.1 纠错编码 (5) 2.2 卷积码的基本概念 (5) 2.3 卷积码编码的概述 (5) 2.4卷积码译码的概述 (5) 三、卷积码的编译码原理 (6) 3.1卷积码的图形描述 (6) 3.1.1 树状图 (7) 3.1.2 网格图 (8) 3.1.3 状态图 (8) 3.2 卷积积码的编码算法 (9) 3.3卷积码的Viterbi译码 (10) 四、卷积码的仿真及性能分析 (12) 4.1 SIMULINK仿真模块 (12) 4.2 卷积码的参数对误码率的影响 (13) 4.2.1 码率对误码性能的影响 (13) 4.2.2 约束长度对误码性能的影响 (14) 4.2.3 回溯长度对卷积码性能的影响 (16) 4.3 仿真分析 (18) 总结 (19) 参考文献: (20)

摘要 随着现代通信的发展,高速信息传输和高可靠性传输成为信息传输的两个主要方面,而可靠性尤其重要。卷积码以其高速性和可靠性在实际应用中越来越广泛。本文简明地介绍了卷积码的编码原理和译码原理。在MATLAB中的SIMULINK模块中设计卷积码的编码和译码的整个模块,调用该模块完成对误码率统计仿真。最后,通过在仿真过程中分别改变卷积码的重要参数来加深理解卷积码的这些参数对卷积码的误码性能的影响。经过仿真和实测,并对测试结果作了分析。得出了以下三个结论:(1)当改变卷积码的码率时,系统的误码性能也将随之发生变化。 (2)对于码率一定的卷积码,当约束长度N 发生变化时,系统的误码性能也会随之发生变化。 (3)回溯长度也会不同程度上地影响误码性能。 同时整个设计通过MATLAB仿真满足设计要求。 关键词:卷积码;误码性能;约束长度;MATLAB;回溯长度

信 卷积实验报告

信号与系统实验报告学院:电子信息与电气工程学院 班级: 13级电信<1>班 学号: 20131060104 姓名:李重阳

实验三 信号卷积实验 一、实验目的 1、理解卷积的概念及物理意义; 2、通过实验的方法加深对卷积运算的图解方法及结果的理解。 二、实验原理说明 卷积积分的物理意义是将信号分解为冲激信号之和,借助系统的冲激响应,求解系统对任意激励信号的零状态响应。设系统的激励信号为x (t ),冲激响应为h (t ),则系统的零状态响应为()()()*y t x t h t ==()()x t h t d ττ∞-∞-?。 1、两个矩形脉冲信号的卷积过程 两信号x (t )与h (t )都为矩形脉冲信号,如图3-1所示。下面由图解的方法(图3-1)给出两个信号的卷积过程和结果,以便与实验结果进行比较。 图3-1 两矩形脉冲的卷积积分的运算过程与结果 2、矩形脉冲信号与锯齿波信号的卷积 信号f1(t )为矩形脉冲信号, f2(t )为锯齿波信号,如图3-2所示。根据卷积积分的运算方法得到f1(t )和f2(t )的卷积积分结果f (t ),如图3-2(c )所示。 图3-2 矩形脉冲信号与锯齿脉冲信号的卷积积分的结果 3、本实验进行的卷积运算的实现方法 在本实验装置中采用了DSP 数字信号处理芯片,因此在处理模拟信号的卷积积分运算时,是先通过A/D 转换器把模拟信号转换为数字信号,利用所编写的相应程序控制DSP 芯片实现数字信号的卷积运算,再把运算结果通过D/A 转换为模拟信号输出。结果与模拟信号的直接运算结果是一致的。数字信号处理系统逐步和完全取代模拟信号处理系统是科学技术发展的必然趋势。图3-3为信号卷积的流程图。 图3-3 信号卷积的流程图 三、实验内容 1、检测矩形脉冲信号的自卷积结果。 用双踪示波器同时观察输入信号和卷积后的输出信号,把输入信号的幅度峰峰值调节为4V ,再调节输入信号的频率或占空比使输入信号的时间宽度满足表中的要求,观察输出信号有何变化,判断卷积的结果是否正确,并记录表3-1。 实验步骤如下: ①将函数发生器的SW702置于“方波”上。 ②连接函数发生器H701与数字滤波器的PB01,在TPB01上可观察到输入波形。将示波器接在TPB01上观测输入波形,并调节函数发生器模块上的频率旋钮与幅度旋钮,使信号频率为1KHz ,幅度为4V 。(注意:输入波形的频率幅度要在H701与PB01连接后,在TPB01上测试。) ③将红色拨动开关SWB01调整为“0001”。 ④按下复位键S1。 ⑤将示波器的CH1接于TP901;CH2接于TP903。可分别观察到输入信号的波形与卷积后的输出信号的波形。 表3-1 输入信号卷积后的输出信号

213卷积码编码和译码

No.15 (2,1,3)卷积码的编码及译码 摘要: 本报告对于(2,1,3)卷积码原理部分的论述主要参照啜刚教材和课件,编程仿真部分绝对原创,所有的程序都是在Codeblocks 8.02环境下用C语言编写的,编译运行都正常。完成了卷积码的编码程序,译码程序,因为对于短于3组的卷积码,即2 bit或4 bit纠错是没有意义的,所以对正确的短序列直接译码,对长序列纠错后译码,都能得到正确的译码结果。含仿真结果和程序源代码。 如果您不使用Codeblocks运行程序,则可能不支持中文输出显示,但是所有的数码输出都是正确的。

一、 卷积码编码原理 卷积码编码器对输入的数据流每次1bit 或k bit 进行编码,输出n bit 编码符号。但是输出的分支码字的每个码元不仅于此时可输入的k 个嘻嘻有关,业余前m 个连续式可输入的信息有关,因此编码器应包含m 级寄存器以记录这些信息。 通常卷积码表示为 (n,k,m). 编码率 k r n = 当k=1时,卷积码编码器的结构包括一个由m 个串接的寄存器构成的移位寄存器(成为m 级移位寄存器、n 个连接到指定寄存器的模二加法器以及把模二加法器的输出转化为穿行的转换开关。 本报告所讲的(2,1,3)卷积码是最简单的卷积码。就是2n =,1k =,3m =的卷积码。每次输入1 bit 输入信息,经过3级移位寄存器,2个连接到指定寄存器的模二加法器,并把加法器输出转化为串行输出。 编码器如题所示。 二、卷积码编码器程序仿真 C 语言编写的仿真程序。 为了简单起见,这里仅仅提供数组长度30 bit 的仿真程序,当然如果需要可以修改数组大小。为了更精练的实现算法,程序输入模块没有提供非法字符处理过程,如果需要也可以增加相应的功能。 进入程序后,先提示输入数据的长度,请用户输入int (整型数)程序默认用户输入的数据小于30,然后提示输入01数码,读入数码存储与input 数组中,然后运算输出卷积码。经过实验仿真,编码完全正确。 以下是举例: a.课件上的输入101 输出11 10 00 的实验

卷积码的编解码仿真与研究

卷积码的编解码仿真与研究 摘要 卷积码是一种性能优越的信道编码。它的编码器和译码器都比较容易实现,同时它具有较强的纠错能力。随着纠错编码理论研究的不断深入,卷积码的实际应用越来越广泛。本文简明地介绍了卷积码的编码原理和译码原理。并在SIMULINK模块设计中,完成了对卷积码的编码和译码以及误比特统计整个过程的模块仿真。最后,通过在仿真过程中分别改变卷积码的重要参数来加深理解卷积码的这些参数对卷积码的误码性能的影响。经过仿真和实测,并对测试结果作了分析。得出了以下三个结论: (1)当改变卷积码的码率时,系统的误码性能也将随之发生变化。 (2)对于码率一定的卷积码,当约束长度N 发生变化时,系统的误码性能也会随之发生变化。 (3)回溯长度也会不同程度上地影响误码性能。 关键词:卷积码;码率;约束长度;回溯长度

Simulation and Research on Encoding and Decoding of Convolution Code Abstract Convolution code has a superior performance of the channel code. It is easy to coding and decoding. And it has a strong ability to correct errors. As correcting coding theory has a long development, the practice of convolution code is more and more extensive. In this thesis, the principle of convolution coding and decoding is introduced simply firstly. Then the whole simulation module process of encoding, decoding and the Error Rate Calculation is completed in this design. Finally, in order to understand their performances of error rate, many changes in parameters of convolution code are calculated in the simulation process. After simulation and measure, an analysis of test results is presented. The following three conclusions are draw: (1) When the rate of convolution Code changes, BER performance of the system will change. (2) For a certain rate of convolution code, when there is a change in the constraint length of N, BER performance of the system will change. (3) Retrospective length will affect BER. Key words: convolution code; rate; constraint length; retrospective length;

14卷积码编解码

实验四 卷积码的编解码 一、实验目的 1、掌握卷积码的编解码原理。 2、掌握卷积码的软件仿真方法。 3、掌握卷积码的硬件仿真方法。 4、掌握卷积码的硬件设计方法。 二、预习要求 1、掌握卷积码的编解码原理和方法。 2、熟悉matlab 的应用和仿真方法。 3、熟悉Quatus 的应用和FPGA 的开发方法。 三、实验原理 1、卷积码编码原理 在编码器复杂度相同的情况下,卷积码的性能优于分组码,因此卷积码几乎被应用在所有无线通信的标准之中,如GSM , IS95和CDMA 2000 的标准中。 卷积码通常记作( n0 , k0 , m) ,它将k 0 个信息比特编为n 0 个比特, 其编码效率为k0/ n0 , m 为约束长度。( n0 , k0 , m ) 卷积码可用k0 个输入、n0 个输出、输入存储为m 的线性有限状态移位寄存器及模2 加法计数器来实现。 本实验以(2,1,3)卷积码为例加以说明。图1就是卷积码编码器的结构。 图1 (2,1,3)卷积码编码器 其生成多项式为: 21()1G D D D =++; 2 2()1G D D =+; 如图1 所示的(2,1,3)卷积码编码器中,输入移位寄存器用转换开关代替,每输入一个信息比特经编码产生二个输出比特。假设移位寄存器的初始状态为全0,当第一个输入比特为0时,输出比特为00;若输入比特为1,则输出比特为11。随着第二个比特输入,第一个比特右移一位,此时输出比特同时受到当前输入比特和前一个输入比特的影响。第三个比特输入时,第一、二个比特分别右移一位,同时输出二个由这三位移位寄存器存储内容所共同决定的比特。依次下去就完成了编码过程。 下面是卷积码的网格图表示。他是比较清楚而又紧凑的描述卷积码的一种方式,它是最常用的描述方

通信原理简答题标准答案.doc

通信原理第六版课后思考题 第 1 章绪论 1、何谓数字信号?何谓模拟信号?两者的根本区别是什么? 答:数字信号:电信号的参量仅可能取有限个值; 模拟信号:电信号的参量取值连续; 两者的根本区别在于电信号的参量取值是有限个值还是连续的。 2、画出模拟通信系统的一般模型。 3、何谓数字通信?数字通信有哪些优缺点? 答:数字通信即通过数字信号传输的通信,相对模拟通信,有以下特点: 1)传输的信号是离散式的或数字的; 2)强调已调参数与基带信号之间的一一对应; 3)抗干扰能力强,因为信号可以再生,从而消除噪声积累; 4)传输差错可以控制; 5)便于使用现代数字信号处理技术对数字信号进行处理; 6)便于加密,可靠性高; 7)便于实现各种信息的综合传输 3、画出数字通信系统的一般模型。 答: 4、按调制方式,通信系统如何分类? 答:分为基带传输和频带传输 5、按传输信号的特征,通信系统如何分类? 答:按信道中传输的是模拟信号还是数字信号,可以分为模拟通信系统和数字通信系统6、按传输信号的复用方式,通信系统如何分类? 答:频分复用(FDM),时分复用(TDM),码分复用( CDM) 7、通信系统的主要性能指标是什么?

第 3 章随机过程 1、随机过程的数字特征主要有哪些?它们分别表征随机过程的哪些特征? 答:均值:表示随机过程的n 个样本函数曲线的摆动中心。 方差:表示随机过程在时刻t 相对于均值a(t) 的偏离程度。 相关函数:表示随机过程在任意两个时刻上获得的随机变量之间的关联程度。 2、何谓严平稳?何谓广义平稳?它们之间的关系如何? 答:严平稳:随机过程(t) 的任意有限维分布函数与时间起点无关。 广义平稳: 1)均值与t 无关,为常数a。2)自相关函数只与时间间隔= -有关。 严平稳随机过程一定是广义平稳的,反之则不一定成立。 4、平稳过程的自相关函数有哪些性质?它与功率谱的关系如何? 答:自相关函数性质: (1) R(0)=E[ ] ——的平均功率。 (2) R( )=R(- ) ——的偶函数。 (3) —— R( ) 的上界。 (4) R( ∞ )= [ ]= ——的直流功率。 (5) R(0)- R( ∞ )= ——为方差,表示平稳过程的交流功率。 平稳过程的功率谱密度与其自相关函数是一对傅里叶变换关系: ( )= d 5、什么是高斯过程?其主要性质有哪些? 答:如果随机过程(t) 的任意 n 维分布服从正态分布,则成为高斯过程。 性质: (1) 高斯过程的n 维分布只依赖于均值,方差和归一化协方差。 (2)广义平稳的高斯过程是严平稳的。 (3)如果高斯过程在不同时刻的取值是不相关的,那么它们也是同级独立的。 (4)高斯过程经过线性变换后生成的过程仍是高低过程。 8、窄带高斯过程的包络和相位分别服从什么概率分布? 答:包络服从瑞利分布,相位服从均匀分布。 9、窄带高斯过程的同相分量和正交分量的统计特性如何? 答:若该高斯过程平稳,则其同相分量和正交分量亦为平稳的高斯过程,方差相同,同一时 刻的同相分量和正交分量互不相关或统计独立。 10、正弦波加窄带高斯噪声的合成包络服从什么分布? 答:广义瑞利分布(莱斯分布)。 11、什么是白噪声?其频谱和自相关函数有什么特点?白噪声通过理想低通或理想带通滤 波器后的情况如何? 答:噪声的功率谱密度在所有频率上均为一常数,则称为白噪声。 频谱为一常数,自相关函数只在R(0) 处为∞。 白噪声通过理想低通和理想带通滤波器后分别变为带限白噪声和窄带高斯白噪声。 12、何谓高斯白噪声?它的概率密度函数、功率谱密度如何表示? 答:白噪声取值的概率分布服从高斯分布,则称为高斯白噪声。

相关文档
最新文档