数电课程设计-四花样彩灯控制器

数电课程设计-四花样彩灯控制器
数电课程设计-四花样彩灯控制器

课程设计说明书

课程设计名称:数字电路课程设计

课程设计题目:四花样彩灯控制器

学院名称:信息工程学院

专业:通信工程班级:110422

学号:姓名:陈粤龙

评分:教师:

20 13 年9 月23 日

数字电路课程设计任务书20 12 -20 13 学年第 1 学期第19 周-20周

题目四花样彩灯控制

内容及要求

(1) 彩灯一亮一灭,从左向右移动

(2) 彩灯两亮两灭,从左向右移动

(3) 四亮四灭,从左向右移动

(4) 从1~8从左到右逐次点亮,然后逐次熄灭

(5) 四种花样自动变换。

进度安排

1.?布置任务、查阅资料、选择方案、领仪器设备:2天

2.?仿真、画PCB线路图:2天

3.?领元器件、制作、焊接:3天

4.?调试:2天

5.?验收:1天

6.?提交报告:2013-2014学年第一学期?2-3周

学生姓名:陈粤龙

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。

2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

前 言

彩灯控制器有着非常广泛的运用,如:LED 彩灯,音乐彩灯控制器,二维彩灯控制器等等,现简单介绍如下:

随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用 彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一

指导时间:2周 指导地点: E610 任务下达

2013年 9月 22日

任务完成

2013 年 9 月 25日

考核方式 1.评阅 □ 2.答辩 □ 3.实际操作□ 4.其它

□ 指导教师

系(部)主任

摘 要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。

本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D 触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D 触发器。当彩灯完成一种花样时,双D 触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。

经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。

关键字:时钟脉冲 分频 自动转换 控制器 数据选择器

旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。优易LED全彩灯光控制系统由Color Edit编辑软件、主控器、分控器和LED光源组成,广泛应用于城市景观、风景名胜、道路桥梁、建筑轮廓、娱乐场所、户外广告、室内装饰等美化、亮化工程。

二维彩灯控制器可控制五路彩灯逐行递增点亮,再逐行递减熄灭。若将一定数量的彩色灯组合联接,就能营造出平面上色彩变化的场景,这比通常控制一条线上的色彩流动更加丰富绚丽。控制器采用数字集成块,外围元器件少、电路结构简单,只要元器件完好、装接无误,装后无须调试即可一举成功。

音乐彩灯控制器是专用于卡拉ok厅 KTV包房的彩灯控制设备,其最大优点是不与电视音响等设备有任何连接,本设备通过检测包房里的环境音频信号强弱来控制通过彩灯的电流大小(即亮暗程度)来烘托娱乐的兴趣的目的,也就是随着声音的大小而使彩灯闪烁,歌声和彩灯一起跳动,从而让唱歌人激情高涨,留连忘返。

本课题研究的是四花样彩灯控制器,应用的是数字逻辑电路的有关知识,是进行复杂设计的基础,对进行复杂彩灯设计具有指导意义。

第一章设计要求

1.1 设计课题及要求

(一)题目:四花样彩灯控制器

(二)基本要求:设计一四花样自动切换的彩灯控制器,要求实现

(1) 彩灯一亮一灭,从左向右移动;

(2) 彩灯两亮两灭,从左向右移动;

(3) 四亮四灭,从左向右移动;

(4) 从1~8从左到右逐次点亮,然后逐次熄灭;

(5) 四种花样自动变换。

(三)主要参考元器:

NE555定时器,四位二进制计数器74LS93,双D触发器74LS74,四

选一数据选择器74LS153,八位移位寄存器74LS164。

第二章 系统组成及工作原理

2.1 系统组成框图

把四花样彩灯设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。系统可由四个模块组成,它们分别是:四种码产生电路、开关电路、数据输出、时钟电路。设计框图如图2.1所示:

各模块的组成及功能分析

1.四种码产生电路:由四位二进制计数器74LS93产生四种码输出。

2.自动转换控制电路:由双D 触发器74LS74和四选一数据选择器74LS153

组成,双D 的两输出端接数据选择器的地址输入端,它能产生两位循环二进制码,

每改变一种状态,数据选择器选择一种码输出,使彩灯花样自动循环。

3.数据输出电路:由八位移位寄存器74LS164和八个彩灯组成,选择输出的每一种码输入到寄存器的数据输入端,使码在寄存器的八个输出端自左向右移动,实现彩灯的花样。

4.时钟电路:由两个555和电阻电容组成,构成两个多谐振荡器,一个周期为0.721秒,控制计数器和寄存器,另一个周期为14.01秒,控制双D 触发器。

2.2工作原理分析

从多谐振荡器出来的脉冲信号分为两路:一路作为计数脉冲送到模十六计数器;另一路做为移位时钟脉冲加到移位寄存器。调节多谐振荡器的滑动变阻器可以改变震荡频率,即改变彩灯移动的速度,得到不同的动态效果。

多谐振荡器、双D 触发器、数据选择器共同组成一个电子开关。多谐振荡器输出的计数脉冲经双D 触发器两位二进制计数器,在它的两个输出端得到00、01、10、11四种逻辑状态。这四个状态作为数据选择器的四个数据通道选择信号,对应从模十六计数器输送到数据选择器的QA ,QB ,QC ,QD 四个分频信号。其作用相当于一个受控的一刀四位的机械转换开关。当双D 触发器输出为“00”

分频计数器 数据选择器 移位寄存器 多谐振荡器 多谐振荡器

多谐振荡器 开关电路 输 出 图2.1 系统组成框图

“10”“01”“11”

数据选择器的输出端接移位寄存器的输入端,在时钟脉冲的作用下,数据在移位寄存器的八位并行输出端从Q0到Q7顺序移动。移动的八位控制信号直接控制发光二极管的亮灭,就出现了八路四花样自动循环切换的流水彩灯。

第三章 单元电路设计与计算

3.1 时钟脉冲产生电路

用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲:

图3.1.1 用555定时器构成多谐振荡电路

其震荡周期为:

T =0.7*(1R +2*2R )*1C

要用两个555产生两个时钟脉冲,一个控制74LS93组成的模十六计数器和八位移位寄存器,要能看到彩灯的流动,其周期设为1秒左右, 电阻值和电容值可设为:

由公式(3-1)计算得:T=0.721S 电路图如图3.1所示: T=0.7*(

11

R +

滑总

R )*8C +0.7*滑R *8C =200*310*10*10

图3.1 时钟电路

另一个555产生的矩形脉冲控制彩灯的自动转换,其周期设为模十六计数器的

20

倍,改变

R1、R2

的阻值即可,可设为:

T=0.7*(

11

R +

滑总

R )*8C +0.7*滑R *8C =200*310*10*10

3.2 四种码产生电路

根据彩灯要实现的四花样,可确定移位寄存器输出的二进制码,即四种码产生电路要产生的码,如表3-1所示:

表3-1 四种码

要产生这四种码,可由十六进制计数器接组合逻辑门产生,十六进制计数器的真值表如表3-2所示

花样

状态要求

周期(位) 码

1 一亮一灭,从左向右移动

8

2 两亮两灭,从左向右移动 8

3 四亮四灭,从左向右移动 8

4

从1~8从00左到右逐次点亮,然后逐次熄灭

16

序号

原状态[S(t)] Q 4 Q 3 Q 2 Q 1 次态[N(t)] Q 4 Q 3 Q 2 Q 1

输出 Z 1 Z 2 Z 3 Z 4

表3-2 计数器真值表

由表3-2分析得:Z 1=Q 3Q 2Q 1 Z 2=Q 3Q 2 Z 3=Q 3 Z 4=Q 4 所以四种码产生电路如图3.2所示

图 3.2 四种码产生电路

3.3 彩灯自动转换控制电路

要实现彩灯四花样的自动转换,就要使四选一数据选择器74LS153循环地输出Z1、Z2、Z3、Z4,也就要使它的地址输入端输入四种状态并循环转变,可

0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1

0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0

0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1

1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1

1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1

1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 1

用双D 触发器实现。

双D 触发器的两个输出端Q1、Q2的状态方程为: Q 1n+1 = Q 2n (3—2) Q 2n+1 = Q 1n (3—3)

设双D 触发器的初始状态Q1Q2 = 00,由Q1、Q2的状态方程式(3—2)和式(3—3)可得,Q1、Q2的状态变换顺序为00—>01—>11—>10—>00,实现四分频。用双D 触发器的输出端Q1、Q2控制选择器的地址输入端,使数据选择器自动选择一种码输出,实现彩灯花样的自动控制。

自动转换控制电路如图3.3所示:

图3.3 自动控制电路

令Q1Q2=AB ,74LS153数据选择器的功能表如表3-3所示:

表3-3 数据选择器功能表

由表3-3可知,数据选择器的地址输入端A 、B 循环转变,输出

1Y

循环选择四种码Z1、Z2、Z3、Z4输出,使彩灯的四花样自动循环改

变。

3.4 花样输出电路设计

输出电路由八位移位寄存器74LS164、八个彩灯和八个驱动电阻构成。寄存器的数据输入端接收开关电路输出的四种码,这四种码在移位寄存器的八位并行输出端从QA 向QH 移动,输出四种彩灯花样。

表3-4 寄存器数码移动情况表

CP Ri QA QB QC QD QE QF QG QH

B (Q2)

A (Q1)

1Y

0 1 1 0 0

0 0 1 1 0

Z1 Z3 Z4 Z2 Z1

1 1 1 0 0 0 0 0 0 0

2 0 0 1 0 0 0 0 0 0

3 0 0 0 1 0 0 0 0 0

4 0 0 0 0 1 0 0 0 0

5 0 0 0 0 0 1 0 0 0

6 0 0 0 0 0 0 1 0 0

7 0 0 0 0 0 0 0 1 0

8 0 0 0 0 0 0 0 0 1

由表3-4可看出,输入码中的那位高电平“1”从寄存器的输出端QA经八

个移位脉冲CP作用后逐渐到了QH,使输出端所连接的彩灯依次点亮,实现了彩

灯依次点亮的花样。当输入另外的三种码时,寄存器的数码移动原理相似。

3.5 各芯片管脚图

3.5.1

图4.5.1 74LS93管脚图

3.5.2

图3.5.2 74LS153管脚图

3.5.3

图 3.5.3 74LS164管脚图

3.5.4、NE555定时器

第四章实验、调试及测试结果分析

4.1结果的调试及分析

1.调试使用的主要仪器: 数字万用表、直流稳压电源、示波器、函数信号发

生器。

2.测试电路的方法和技巧:

先检查各芯片的电源和地是否接上,检查线路是否连好;前面的检查无问题后,再根据彩灯的变化情况,确定可能的原因,分析是哪个功能模块出了问题,用数字万用表检查各模块的功能,发现并改正错误,直到符合要求为止。

3.调试中出现的故障、原因及排除方法:

(1)彩灯只有一种花样变化,没有其它的花样:

原因可能是双D触发器74LS74不能产生周期性的两位二进制码或是555不能产生触发双D触发器的CP脉冲,使双D触发器维持在一种状态。可换一片好的74LS74芯片或检查555CP脉冲产生电路,看是否产生周期性的CP脉冲,使双D触发器产生四种循环的状态,彩灯就能四花样变化。

(2)彩灯无规律变化:

原因可能是四种码的产生电路不能产生符合要求的四种码,检查模十六计数器的CP脉冲是否稳定,看74LS161是否能计数,即Q A、Q B、Q C、Q D是否周期性的高低电平变化,前面没问题,再检查与门74LS08和非门74LS04是否能实现它们逻辑功能。

(3)彩灯的四种花样都有,但中间有一些混乱的状态:

原因可能是数据选择器输出的两种码之间的间隔大于彩灯每一种状态持续的时间,使彩灯的一种花样完成后并没有进入另一种状态,当进入另一种状态时上一种状态的多余码进入下一个状态,故出现了混乱的状态。可改变双D触发器的CP脉冲,即改变多谐振荡器的电阻,使得一种花样完成后,数据选择器地址输入端状态改变,正好选择另一组码输出,彩灯花样改变。

结论

本次所设计的是花样彩灯控制器,该控制器的设计主要结合了大二所学的低频电子线路及脉冲与数字电路课程。

课程设计这门课需要严谨的科学态度和完整的设计思维和方法。设计电路关键在于对设计要求的理解分析以及对基本电路相关知识的熟练掌握。设计电路时,将总体的功能分成若干个部分来实现,是简化电路设计思路的很好方法;且

搞清各个模块的功能与实现要求操作的具体方法,对电路故障的检查也是很有帮助。

通过这次设计,学到了很多东西,如查找资料,设计比较,从各种图中提取所需。焊接时出学到了好多,如如何在一定大小的板子上正确摆放好芯片,如何布线等等,调试时也是,知道了用工具如万用表来检查、修复故障。

本次课设算是成功的,让作者学到很多,包括焊接技术。也吸取了很多教训。真正提高了动手能力,学会获取资料,活跃了自己的思维,巩固了所学知识。

花样彩灯控制器的设计是对数字电路逻辑设计知识的实践运用,加深了对知识的理解。

参考文献

[1]康华光.数字电子技术.高等教育出版社,2002

[2]雷勇.电工电子技术实验.成都:四川大学出版社,2002

[3]王毓银.数字电路逻辑设.北京:高等教育出版社,2006

[4]《中国集成电路大全》编写委员会.中国集成电路大全《TTL集成

电路》.北京:国防工业出版社,1985

[5]陈先龙.电子技术基础实验.北京:国防工业出版社,2006

[6]宋万年.模拟数字电路实验.上海:复旦大学出版社,2004

[7]温飞兵.电子技术实践教程.湖南:长沙国防科技大学,2003

[8] 何小艇.电子系统设计.杭州:浙江大学出版社,2001

附录1 花样彩灯控制器原理总图

课程设计--四花样彩灯控制器

课程设计--四花样彩灯控制器

2012 ~ 2013 学年第二学期 《数字电子技术》 课程设计报告 题目:四花样彩灯控制器 专业:电子信息工程 班级: 11 电信一班 姓名:孙叶林陶轮汪宏俊汪义涛王安 亚 王劲松王亮亮王向阳魏伟指导教师:周旭胜 电气工程系 2013年5月30日

任务书 课题名称四花样彩灯控制器 指导教师(职称)周旭胜 执行时间2012~ 2013 学年第 2学期第 14 周学生姓名学号承担任务 王安亚1109121033 设计总电路图1 汪宏俊1109121031 设计总电路图2 陶轮1109121030 负责对比两个总电路图 汪义涛1109121032 设计555时钟脉冲产生电路 王向阳1109121036 设计四种码产生电路 王劲松1109121034 设计输出电路 魏伟1109121037 设计开关电路 王亮亮1109121035 查找参考资料 孙叶林1109121029 负责写课程设计报告 设计目的 通过设计方案的比较,对比电路的复杂与简单,器件的市场价格等方面因素,来选择一种比较好的可行性设计方案 设计要求(1) 彩灯一亮一灭,从左向右移动; (2) 彩灯两亮两灭,从左向右移动; (3) 四亮四灭,从左向右移动; (4) 从1~8从左到右逐次点亮,然后逐次熄灭; (5) 四种花样自动变换。

摘要 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。优易LED全彩灯光控制系统由Color Edit编辑软件、主控器、分控器和LED光源组成,广泛应用于城市景观、风景名胜、道路桥梁、建筑轮廓、娱乐场所、户外广告、室内装饰等美化、亮化工程。 四花样自动切换的彩灯控制器,其电路简单、取材容易,而且被广泛地应用与现实生活当中。例如用于店面装饰可以增加其美观,吸引更多顾客。 在经过了几天紧张的电路焊接和调试,期间还进行了部分方案的修改和改进,现已实现了课程设计的主要任务和具体要求。 关键字:LED彩灯硬件电路

花样彩灯课程设计

摘要在许多场合都可以看到彩色霓虹灯,LED彩灯由于其彩色丰富,造价低廉,控制简单等特点受到了广泛的应用。本系统是以51系列单片机AT89C51为主控器,附有LED发光二极管,用51系列单片机AT89C51来控制LED发光二极管亮灭,系统运行稳定,花样多多。 关键词彩色霓虹灯AT89C51 LED 一方案设计与论证 1.1单片机 方案一STC89C52 STC89C52是STC公司生产的一种低功耗、高性能CMOS8位微控制器,具有8K在系统可编程Flash存储器。能为许多嵌入式控制引用系统提供高灵活、超有效的解决方案。 方案二AT89C51 AT89C51是一种带4K字节Flash存储器的低电压、高性能COMS 8位微处理器。AT89C51单片机能为很多嵌入式系统提供一种灵活性高且价廉的方案。 虽然STC89C52具有51单片机不具备的功能,单本系统操作简单,且51单片机价格低廉,所以我们选择方案二。 二硬件电路设计 2.1 主控模块 2.1.1 AT89C51引脚图

图2.1.1 AT89C51引脚图 2.1.2AT89C51引脚功能介绍 Vss:接地端。 Vcc:电源端。 XTAL1:接外部晶体的一个引脚。CHMOS单片机采用外部时钟信号时,时钟信号由此脚引入。 XTAL2:接外部晶振的一个引脚。HMOS单片机采用外部时钟信号时,外部时钟信号由此脚接入。 RST:(1)复位信号输入;(2)Vcc掉电后,此引脚可接备用电源,低功耗条件下保持内部RAM中的数据。 ALE//PROG:地址锁存允许。 /PSEN:程序锁存允许。 /EA/Vpp:EA=0,单片机只访问外部程序存储器。EA=1,单片机访问内部程序存储器。 P0口----P0口可以作为输入/输出口,在实际应用中,常作为地址/数据总线口,即低8位地址与数据线分时使用P0口。低8位地址由ALE信号的下跳沿锁存到外部地址锁存器中,而高8位地址由P2口输出。 P1口----P1口每一位都能作为可编程的输入或输出口线。 P1.0:T2引脚,定时/计数器2外部计数脉冲。 P1.1:T2EX引脚,定时/计数器2触发和方向控制。 P1.5:MOSI引脚,在系统编程数据输入。 P1.6:MISO引脚,在系统编程数据输出。 P1.7:SCK引脚,在系统编程时钟输入。 P2口----P2口可以作为输入口或输出口使用,外接存储器和I/O接口时,又作为扩展系统的地址总线,输出高8位地址,与P0口一起组成16位地址总线。对于内部无程序存储器的单片机来说,P2口一般只作为地址总线使用,而不作

彩灯控制器课程设计数电

电子技术课程设计 ---彩灯控制器 学院:电子信息工程学院 班级: 学号: 指导教师:

彩灯控制器 一、设计任务与要求: 设计一个彩灯控制器,要求: 1.四路彩灯从左向右逐次渐亮,间隔为1秒。 2.四路彩灯从右向左逐次渐灭,间隔为1秒。 3.四路彩灯同时点亮,时间间隔为1秒,然后同时变暗,时间为1秒,反复4 次。 二、总体框图 图(1)总体框图 根据设计要求,电路设计大体思路如下: 由脉冲发生器发出频率脉冲信号,利用计数器加法计数功能输出0000~1111的脉冲信号,经过数据选择器分别在0000~0011,0100~0111,1000~1111三个时段输出不同的高低电平,控制移位寄存器实现右移→左移→置数功能,从而控制

彩灯按照设计要现亮灭。 三、选择器件 本次课程设计所用器件如表一: 表一本次课程设计所用器件 1.同步二进制计数器74LS163

表二7-3 74LS163功能表 根据逻辑图、波形图、功能表分析,74LS163具有如下功能: 管脚图逻辑符号 1)1是同步4位二进制加法计数器,M=16,CP上升沿触发 2)2既可同步清除,也可异步清除。同步清除时,清除信号的低电平将在下一 个CP上升沿配合下把四个触发器的输出置为低电平。异步清除时,直接用 清除信号的低电平把四个触发器的输出置为低电平。 3)3同步预置方式:当LD = 0时,在CP作用下,计数器可并行打入预置数据. 当LD = 1时,使能输入PT同时为高电平,在CP作用下,进行正常计数。 4)PT任一为低时,计数器处于保持状态。 5) 5 CO为进位输出,可用来级联成n位同步计数器。 2.四位双向移位寄存器74LS194

花样彩灯的设计.(DOC)

西安电子科技大学 《数字电子技术》课程设计 题目花样彩灯的设计 学生姓名 专业班级11 学号 院(系)信息工程学院 指导教师 完成时间2013年11月28日

目录 1 设计内容及要求 (1) 1.1 设计内容 (1) 1.2 设计要求 (1) 1.3 主要参考元器件 (1) 1.4 电路原理 (1) 1.5 工作原理分析 (2) 2 方案设计 (3) 2.1 方案的设计 (3) 2.2 工作原理分析 (3) 2.3 方案设计 (4) 3 单元电路分析 (7) 3.1 时钟脉冲产生电路 (7) 3.2 周期的计算 (7) 4 焊接与调试及测试结果分析 (8) 4.1 焊接 (8) 4.2 调试 (8) 4.3 测试 (9) 5 总结 (10)

参考文献 (12) 附录1:元器件清单 (13) 附录2:实物图 (14)

1 设计内容及要求 1.1 设计内容 设计一四花样自动切换的彩灯控制器。 1.2 设计要求 (1)彩灯一亮一灭,从左向右移动。 (2)彩灯两亮两灭,从左向右移动。 (3)四亮四灭,从左向右移动。 (4)从1~8从左到右逐次点亮,然后逐次熄灭。 (5)四种花样自动变换。 1.3 主要参考元器件 芯片:555定时器,模十六计数器74LS161,双D触发器74LS74非门74LS04,四选一数据选择器74LS153,八位移位寄存器74LS164。 1.4 电路原理 彩灯控制器电原理。IC由555接成多谐振荡器。3由4位2进制计数器74LS93接成16进制计数器,其4个输出端可分别输出对计数脉冲的2、4、8、16分频信号。4是双D触发器74LS74,在这里接成两位2进制加法计数器。5是双4选l数据选择器74LSl53,这里只用了它的一组4选1数据通道。6是3位单向移位寄存器74LSl64,它是产生移动灯光信号的核心器件。驱动电路用8只三极管组成8路射随器作缓冲放大,去触发作电流开关的8只双向可控硅,以控制彩灯发光。电路的十5V电源由220V 至9V变压器降压,经D1至D4桥式整流,7805稳压后给控制

PLC彩灯控制课程设计

P L C彩灯控制课程设计 The Standardization Office was revised on the afternoon of December 13, 2020

基于P L C 的彩灯控制

目录 课程设计任务书 (1) 引言 (2) 一 PLC的定义及发展 (3) 二系统分配 (6) 硬件分配图 (6) 软件分配图 (7) 三方案设计 (8) 顺序工程图 (8) 程序运行步骤 (9) 四总结 (10) 参考文献 (11)

基于PLC的彩灯控制 一、控制要求: 系统启动后,L1、L5同时亮,然后熄灭;之后L2、L8同时亮,然后熄灭;接着L3、L7同时亮,然后熄灭;最后L4、L6同时亮,然后熄灭,按照此方式循环5次后再逆向闪烁5次,并循环。 二、设计任务 1.设计出硬件系统的结构图、接线图; 2.系统有启动、停止功能; 3.运用功能指令进行PLC控制程序设计; 4.程序结构与控制功能自行创新设计; 5.进行系统调试,实现上述功能。

引言 随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。在大型晚会的现场,彩灯更是成为不可缺少的一道景观。小型的彩灯多为采用霓虹灯管做成各种各样和多种色彩的灯管,或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。这些灯的控制设备多为数字电路。而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,数字电路则不能胜任。针对PLC日益得到广泛应用的现状,本文介绍PLC在不同变化类型的彩灯控制中的应用,灯的亮灭、闪烁时间 及流动 方向的控制均通过PLC来达到控制要求。在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,但就其工作模式,可分为三种主要类型:长明灯、流水灯及变幻灯。长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过PLC控制。流水灯负载变化频率高,变换速度快,使人有眼花缭乱之感,分为多灯流动、单灯流动等情形。变幻灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化,但频率不高。流水灯及变幻灯均适宜采用PLC控制。 关键词:PLC 循环控制

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

单片机控制的花样彩灯设计

课程设计报告书 题目: 花样彩灯控制系统 专业:电气工程 学号: 0401100102 学生姓名:杜世会 指导教师:赵阳 日期:2012-6-22 河南工业职业技术学院 课程设计(论文)任务书

电气工程系电气自动化 1001 学生:杜世会 指导教师:赵阳 助理指导教师(并指出所负责的部分):

教研室:教研室主任: 目录 1引言 (4) 2 设计原理 (5) 2.1MCS51 (5) 2.2 LED (6) 2.3中断指令 (8) 2.4硬件接原理图 (9) 3程序流程图 (11) 3.1主流程图 (11) 3.2流水灯子流程图 (12) 3.3中断程序流程图 (13) 4汇编程序 (14) 4.1主程序 (14) 4.2一秒钟定时程序 (17) 5小结 (18) 参考文献 (19) 1.1引言 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。 LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰已经成为一种时尚。但目前市场上各式样的 LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,影响亮灯效果。因此有必要对现有的彩灯控制器进行改进。 课程设计是学完一门课后应用本课知识及以前的知识积累而进行的综合性、开放性的训练,

是培养学生工程意识和创新能力的重要环节。进一步巩固和加深“单片机”课程的基本知识,了解单片机设计知识在实际中的应用。综合运用“单片机”课程和先修课程的理论及生产实际知识去分析和解决电路设计问题,进行单片机电路设计的训练。学习单片机设计电路的一般方法,了解和掌握单片机电路的设计过程和进行方式,培养正确的设计思想和分析问题、解决问题的能力,特别是总体电路设计能力。通过计算和绘图,学会运用标准、规范和查阅有关技术资料等,培养单片机电路设计的基本技能。 该程序示例了单片机键盘控制p1口流水灯花样的方法;具体表现为:p3.2 3.2 3.4 3.5四个小本文提出了一种基于AT89S51单片机的彩灯控制方案,实现对LED 彩灯的控制。按键,分别实现了四个控制。通过这次实验,我们也了解了团队合作的重要性,集体的力量是伟大的,一个人如何融入一个团队是是一个重要问题,讲究合作才能取得最后的成功! 2设计原理 2.1 MCS51单片机 引脚说 明 MCS 单片机都采用40引脚的双列直插封装方式。图2-9为引脚排列图, 40条引脚说 明如下: 1、主电源引脚Vss 和Vcc ① Vss 接地 ② Vcc 正常操作时为+5伏电源 2、外接晶振引脚XTAL1和XTAL2 ① XTAL1内部振荡电路反相放大器的输入端,是外接晶体的一个引脚。当采用外 部振荡器时,此引脚接地。 ② XTAL2内部振荡电路反相放大器的输出端。是外接晶体的另一端。当采用外部振荡器时,此引脚接外部振荡源。 3、控制或与其它电源复用引脚ALE/PROG ,PSEN 和 RST/VPD , P1.01P1.12P1.23P1.34P1.45P1.56P1.67P1.7 8RST/VPD 9RXD P3.010TXD P3.111INT0 P3.212INT1 P3.313T0 P3.414T1 P3.515WR P3.616RD P3.717XTAL218XTAL119VSS 20 P2.0 21 P2.122P2.223P2.324P2.425P2.526P2.627P2.728PSEN 29ALE/PROG 30EA/VPP 31P0.732P0.633P0.534P0.435P0.336P0.237P0.138P0.039VCC 408031 8051 8751

彩灯控制器课程设计汇本报告

数字电子技术课程设计报告 专业名称: 班级: 姓名: 学号: 日期:

目录 一、设计要求 (1) 二、设计的作用、目的 (1) 三、设计的具体实现 (1) 1、系统概述 (1) 2、单元电路设计、分析与仿真 (2) 3、电路的安装与调试 (6) 四、心得体会及建议 (7) 五、附录 (7) 六、参考文献 (8)

设计报告 一、设计要求 说明:1.以十个指示灯作为显示器件,能自动的从左到右、从右到左的依次被点亮,如此周而复始,不断循环。 2.打开电源时可自动清零,每个指示灯被点亮的时间约为0.5S—2S 围。 3.用计算机画出电路设计图,进行仿真分析验证其正确性。 4.写设计说明书一份。 二、设计的作用、目的 1、为了培养学生运用相关课程的基础理论和技能解决实际问题,并进一步提高学生抓也基本技能、创新能力的重要实践教学环节。 2、培养学生正确的设计思想与方法、严谨的科学态度和良好的工作作风。 3、通过课程设计,学生受到设计与写作方法的初步训练,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计。 4、巩固、深化和扩展学生的理论知识与初步的专业技能。 5、熟悉各种芯片的功能和应用。 6、完成彩灯控制器的设计,绘出电路原理图。 7、调试电路,是否能正常工作。 理工大学课程设计:彩灯控制器 三、设计的具体实现 1)系统概述

此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路计数译码驱动电路显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图二所示。 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。

四路彩灯显示系统设计

课程名称:数字电路逻辑设计 设计项目:四路彩灯显示系统设计专业班级:通信学号: 学生姓名: 同组人姓名: 指导教师:

设计课题:四路彩灯显示系统设计 一、 设计目的 1、 熟悉常用中规模计数器的逻辑功能。 2、 掌握技术、译码电路的工作原理及应用。 3、 熟悉移位寄存器的工作原理、典型应用和调试方法。 二、 设计任务与要求 设计一个四路彩灯控制器,设计要求如下: (1) 接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2) 设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s ,共用4s ; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s ; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间也为4s 。 (3)三个节拍完成一个循环,一共需要12s 。一次循环之后重复进行闪烁。 三、设计原理 图(a)四路彩灯控制流程图 四路彩灯即有四路发光二极管输出,设依次为d Q 、c Q 、b Q 、a Q ,若用高电平“1”表示灯亮,低电平“0”表示灯灭,由课程设计要求可知四路彩灯显示系统有如下表所示的输出

显示。 四路彩灯输出显示 说明 输出 所用时间d Q c Q b Q a Q 开机初态0 0 0 0 第一节拍逐次渐亮1 0 0 0 1 1 0 0 1 1 1 0 1 1 1 1 1s 1s 1s 1s 第二节拍逆序渐灭1 1 1 0 1 1 0 0 1 0 0 0 0 0 0 0 1s 1s 1s 1s 第三节拍同时亮0.5s,然后同时灭0.5s,进行四次1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 分析可知,要实现上表所示功能,需要一个分频器起节拍产生和控制作用,每4s一个节拍,3个节拍共12s后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。

彩灯控制器的设计电子课程设计

彩灯控制器的设计 一.内容提要: 随着社会的发展,街道、商场或公共场所通常都装有各种五彩斑斓的灯饰,这些霓虹灯既可以美化人们的生活空间,也给这个社会增添了不少色彩。特别是每逢节日晚上都能看到街道旁都挂起五彩缤纷彩灯,给人一种节日的气氛。然而,彩灯作为我们生活中的一部分,我们既要知道其然,还要知其之所以然。因此,我们有必要去研究彩灯的工作原理。本次设计主要阐述了由电子电路设计一个彩灯控制器,控制红绿黄三个灯,按一定规律依次点亮。即由电子电路实现一个可循环效果的彩灯控制器。 本设计主要讲述了彩灯控制器的工作原理以及其各个组成部分,记述了我在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及对它们的调试、对调试结果的分析,到最后得到比较满意的实验结果的方方面面。 二设计主要要求及指标 1、控制红、绿、黄一组彩灯循环闪亮,变化的规律是:红→红绿→绿→ 黄绿→黄→全亮→全灭→红,如此循环,产生“流水”般的效果。 2、彩灯白天不亮,夜晚自动亮。 3、“流水”的速度由快到慢,再由慢到快循环变化。 三、设计提示 原理框图如图1所示 1、彩灯的亮灭共有七种情况,可设计一个七进制的计数器,用计数器的状态控 制彩灯的亮灭;计数器应能够自启动。 2、“流水”的速度的控制可用两片555定时器电路实现。两片555接成振荡频 率不同的多谐振荡器,用频率低的振荡器上积分电容的三角波信号,调制另一个频率高的振荡器的振荡频率,使其高低往复变化。 3、用光敏器件(光敏电阻、光敏二极管、光敏二极管等)检测周围环境的光强, 以区分白天、夜夜,控制彩灯的亮灭。

图1 彩灯控制器框图 四.设计思路 根据题目要求,整体结构为脉冲信号源输出一定频率的脉冲给七进制计数器,七进制计数器受脉冲控制输出Q 1、Q 2、Q 0的不同状态,从而控制逻辑电路,逻辑电路输出控制彩灯的亮灭,达到要求。 根据所学内容,可分别确定所需元件,脉冲信号源有很多种,但要频率可控,可采用CB555定时器组成的多谐振荡器和滑动变阻器完成,多谐振荡器是常用的一种矩形波发生器,滑动变阻器通过改变其内部电阻来改变其输出矩形波的频率。七进制计数器可通过74LS160型同步十进制计数器改接而得。逻辑电路可使用74LS138型3位二进制译码器控制彩灯。 光敏器件检测电路 脉冲发生 七进制计数逻 辑 电 路 红 黄 绿 Q2 Q1 Q0 D CP 加减控制 循环结束结束判别 时钟快慢 控制

四花样彩灯控制器设计

四花样彩灯控制器设计 杨洋(安庆师范学院物理与电气工程学院安徽安庆 246011) 指导教师:刘娟 摘要:随着人们对城市美化要求的提高,在许多场合可以看到彩色霓虹灯的应用LED彩灯由于其丰富的灯光色彩低廉的造价和其控制简单等特点而得到广泛的应用。有些彩灯控制器实现的团单一,体积过大,应用起来极不方便,为了更好的美化环境,是彩灯控制器适应不同的地点,不同的环境,而通过小型的数字集成器来设计更为实用的彩灯控制器,本次课程设计的目的是设计一四花样自动切换的彩灯控制器,要求实现:彩灯一亮一灭,从左向右移动;彩灯两亮两灭,从左向右移动;四亮四灭,从左向右移动;从1~8从左到右逐次点亮,然后逐次熄灭;四种花样自动变换。 按照要求设计了电路,本电路使用555定时器构成振荡电路,555定时器是一种模拟和数字功能相结合的中规模集成器件,数据选择器采用74LS153,74LS153里面有两个地址码共用的4选1数据选择器,通过输入不同的地址码就可以控制输出Y选择4个输出数据中的1个。此器件在各种数字电路和单片机系统的显示系统中经常用到。 经过一系列的分析、准备。本次课题设计除在美观方面处理得不够得当之外。本次电路设计完成全部的设计要求。 关键字:555定时器;数据选择器;LED;四花样彩灯控制器 第一章设计要求 1.1 设计课题及要求 (一)题目:四花样彩灯控制器 (二)基本要求:设计一四花样自动切换的彩灯控制器,要求实现 (1) 彩灯一亮一灭,从左向右移动; (2) 彩灯两亮两灭,从左向右移动; (3) 四亮四灭,从左向右移动; (4) 从1~8从左到右逐次点亮,然后逐次熄灭; (三)主要参考元器: 555定时器,模十六计数器74LS161,双D触发器74LS74,与门74LS08,非门74LS04,四选一数据选择器74LS153,八位移位寄存器74LS164。

数电课程设计-四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级:110422 学号:姓名:陈粤龙 评分:教师: 20 13 年9 月23 日 数字电路课程设计任务书20 12 -20 13 学年第 1 学期第19 周-20周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从1~8从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换。 进度安排 1.?布置任务、查阅资料、选择方案、领仪器设备:2天 2.?仿真、画PCB线路图:2天 3.?领元器件、制作、焊接:3天 4.?调试:2天 5.?验收:1天 6.?提交报告:2013-2014学年第一学期?2-3周 学生姓名:陈粤龙

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。 前 言 彩灯控制器有着非常广泛的运用,如:LED 彩灯,音乐彩灯控制器,二维彩灯控制器等等,现简单介绍如下: 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用 彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一 指导时间:2周 指导地点: E610 任务下达 2013年 9月 22日 任务完成 2013 年 9 月 25日 考核方式 1.评阅 □ 2.答辩 □ 3.实际操作□ 4.其它 □ 指导教师 系(部)主任 摘 要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D 触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D 触发器。当彩灯完成一种花样时,双D 触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲 分频 自动转换 控制器 数据选择器

流水灯课程设计(免费)..

数字电子技术课程设计报告 (彩灯控制器) 专 专业:电子信息工程 班级:7B1211 学号:123025 姓名:白旭飞 年月:2014-6-28

一、设计要求 1. 以8或10个指示灯作为显示器件,能自动的从左到右、从右到左自动的依次被点亮,如此周而复始,不断循环。 2.打开电源时控制器可自动清零,每个指示灯被点亮的时间相同约为0.5S~2S 范围内。 3.用计算机画出设计电路图,进行仿真分析验证其正确性。 4.写设计说明书一份(画总原理框图以及说明主要工作原理,单元电路的设计和元器件的选择,画出完整的电路图和元器件明细表,收获、体会及建议) 二、设计的作用,目的 1.作用 利用控制电路可使彩灯(例如霓虹灯)按一定的规律不断的改变状态,不仅可获得良好的观赏效果,且可以省电(与彩灯全亮相比)。 2.目的 用NE555芯片,74LS151芯片,74LS163芯片,74LS194,以及一些逻辑门芯片完成彩灯控制器。 三、设计的具体实现 1.系统概述 接通电源时,555占空比可调振荡器产生1s单位的脉冲,脉冲送到下一个模块74LS151计数器,目的实现模5计数器,达到每五秒生成一个脉冲输向下一个芯片74LS194移位寄存器以及计数器74LS163。进而彩灯在脉冲的作用下依次点亮,并实现循环,完成实验要求。 2.总体思路 先用555定时器用来生成1s标准单位cp脉冲,把脉冲给计数器74LS151,通过74LS151形成模5加法计数器,再将74LS151输出信号供给74LS194移位寄 存器输入端,Q 0,Q 1, Q 2 和Q 3 接彩灯然后连接几个逻辑门,把74LS194接成环形 计数器。就能实现基本电路要求。 3.方案设计 总体电路共分三大块。第一块实现时钟信号的产生;第二块实现灯亮灭情况的演示;第三块实现灯亮灭的控制及节拍控制。

课程设计报告(彩灯控制器)

西安文理学院机械电子工程系 课程设计报告 专业班级电子信息工程(1)班 课程数字电子技术 题目彩灯控制器 学号 学生姓名 指导教师 2010年 1月

西安文理学院机械电子工程系 课程设计任务书 学生姓名专业班级学号 指导教师职称教授教研室 课程数字电子技术 题目 彩灯控制器 任务与要求 1.掌握NE555定时器的原理及使用方法。 2.学习74LS138译码器的使用方法。 3.掌握CD4040计数器的使用方法。 4.按照设计图连好电路,实现所需功能。 5.攥写课程设计报告 开始日期 2009年12月28日完成日期2010年1月8日 2010年1月8日

目录 设计目的 (1) 设计任务和要求 (1) 总体设计方案 (2) 功能模块设计与分析 (5) 电路的安装与调试 (8) 实验仪器及元器件清单 (11) 心得体会 (10) 附录一系统电路图 (9)

一、设计目的 用CD4040芯片、LS138芯片、NE555芯片完成彩灯控制器。 二、设计要求和任务 通过循序渐进地独立完成数字电路的设计任务,加深对理论知识的理解,有效地提高了动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。重点提高在数字电路应用方面的实践技能,树立严谨的科学作风,培养综合运用理论知识解决实际问题的能力。通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 基本要求: (一).掌握数字逻辑电路分析和设计的基本方法 1.根据设计任务和指标,初选电路; 2.通过调查研究、设计计算,确定电路方案; 3.选测元器件,安装电路,独立进行试验,并通过调试改进方案; 4.分析课程设计结果,写出设计报告。 (二).培养一定自学能力和独立分析问题、解决问题能力 1.学会分析、找出解决问题的方法; 2.对设计中遇到的问题,能独立思考,查阅资料,寻找答案; 3.掌握一些测试电路的基本方法,课程设计中出现一般故障,能通过“分析、观察、判 断、试验、再判断”的基本方法独立解决; 4.能对课程设计结果进行分析和评价。 (三).掌握安装、布线、调试等基本技能 1.掌握常用的仿真软件,并能够利用仿真软件进行一定的电路调试、改进; 2.掌握数字电路布线、调试的基本技巧; 3.巩固常用仪器的正确使用方法。 (四).培养实践能力 通过严格的科学训练和工程设计实践,树立严肃认真、一丝不苟、实事求是的科学作风,并培养团结协作的精神。

彩灯控制器

题目:彩灯控制器 姓名 学号 班级 指导教师 2014 年6 月28日

课程设计任务书

课程设计报告 前言 本次设计是利用数字电子技术,实现对彩灯的遥控,彩灯控制器在我门日常生活中有重要的运用,价格便宜,生产简单,故本次设计具有很好的使用价值 整个电路的设计借助于multisim 仿真软件,在multisim 下设计和进行仿真,得到了预期的结果。 一、系统组成及工作原理 1-1.系统组成框图 把四花样彩灯设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。系统可由三个模块组成。设计框图如图1-1所示: 图 1-1系统组成框图 1-2.工作原理分析 电路工作原理整体思路:由 555构成多谐振荡器,产生的脉冲作为模16和八位移位寄存器的时钟信号,控制着周期;74LS153选择一路工作,双D的输出作为74LS153的信号输入。 从多谐振荡器出来的脉冲信号分为两路:一路作为计数脉冲送到模十六计数器;另一路做为移位时钟脉冲加到移位寄存器。调节多谐振荡器的电阻可以改变振荡频率,即改变彩灯移动的速度,得到不同的动态效果。 多谐振荡器、双D 触发器、数据选择器共同组成一个电子开关。模16的进位输出脉冲经两个双D 触发器构成两位二进制计数器。调节开关电路的CP 脉冲产生电路的电阻,可以改变开关的切换时间用以选择每种花样出现时间的长短。 数据选择器的输出端接移位寄存器的输入端,在时钟脉冲的作用下,数据在移位寄存器的八位并行输出端从Q0到Q7顺序移动。移动的八位控制信号直接控制发光二极管的亮灭,于是出现了八路四花样自动循环切换的流水彩灯。 设计方案

LED循环彩灯课程设计

××学院 《模拟电子技术》课程设计 题目 LED循环彩灯 学生姓名××× 专业班级电科(3)班 学号 201231000 院(系)电气工程学院 指导教师××

完成时间 2013年12月17日

目录 1、课程设计的目的 (1) 2、课程设计的任务与要求 (2) 2.1实验器材 (2) 2.2电子器件的识别 (2) 2.3焊接技术 (2) 2.4元器件安装及要求: (3) 3、设计方案与论证 (3) 3.1功能分析与具体要求 (3) 3.2设计思路 (4) 4、设计原理及功能说明 (4) 5、单元电路的设计(计算与说明) (6) 6、硬件的制作与调试 (7) 7、总结 (10) 参考文献: (12)

附录1:总体电路原理图.......................错误!未定义书签。附录2:元器件清单.. (14)

1、课程设计的目的 当今世界,彩灯已经成为我们生活的一部分,能给我们带来视觉上的享受还能美化我们的环境。街角巷里,高楼大厦无处不是因它炫彩夺目以及控制简单等特点而得到了广泛的应用,用LED彩灯来装饰街道和城市建筑已经成为一种潮流。发光二极管简称为LED。它是一种能发光的半导体电子元件。这种电子元件早在1962年出现,早期只能发出低光度的红光,之后发展出其他单色光的版本,时至今日能发出的光已遍及可见光、红外线及紫外线,光度也提高到相当的光度。具有效率高、寿命长、不易破损、开关速度高、高可靠性等传统光源不及的优点。白光LED的发光效率,在近几年来已经有明显的提升。因此人们现在更侧重于用LED来照明以及装饰屋内及屋外环境,LED广泛应用与生活的各个方面,不断走进人们的生活也不断地改变着人们的生活。 本实验主要是结合我们以往所学课程的基础理论、基本技能和专业知识的能力,不仅要考虑总体电路的设计还要考虑系统各部分电路的选择、设计及它们之间的相互连接。主要目的是在实践中逐步培养我们建立正确的设计思想,掌握工程设计的一般程序、规范和方法。 (1)了解各种元器件的性能、作用和工作原理; (2)掌握一定的焊接技术以及简单元器件装配; (3)学习印刷电路板;

多路彩灯控制器课程设计

物理与电气工程学院课程设计报告 多路彩灯控制器 姓名 ** ** 学号 ********* 班级电气工程及其自动化1班 年级 2011级 指导教师李 *** 成绩 日期 2013.4.8

摘要八路循环彩灯控制器整体电路由三部分组成:脉冲发生电路、移位寄存器、控制电路。其中用时钟脉冲来启动电路,使其发出不同的频率产生不一样的脉冲,控制发光二极管,使电路更好的工作。主要采用 74LS194 芯片接成扭环形结构的移位器来实现,最后做到两种花型的彩灯循环控制。 一、实验目的: 根据知识掌握情况和兴趣选择题目,给出功能设计方案,插接、调试电路,完成要求的任务,达到巩固和应用“电子技术基础”和“数字电路与逻辑设计”课程基本理论和方法,初步掌握模拟与数字电路系统设计基本方法的目的。 二、实验要求: 设计一个4路移存型彩灯控制器,彩灯用发光二极管LED模拟,具体要求如下: 1、能演示三种花型,花型自拟。 2、选作:彩灯明暗变换节拍为1.0s和0.5s,两种节拍交替运行。 三、实验元件: 555定时器 1个 74194 2个 74161 2个 7404 2个

电阻150kΩ 1个 电阻4.7KΩ 1个 电阻20Ω 8个 电容4.7uF 1个 电容0.1 uF 1个 四、总体方案的设计: 经过分析问题及初步的整体思考,设计方案如下: 需要实际时钟产生电路,循环控制电路和彩灯左右移,及全亮全灭输出电路。时钟脉冲产生电路由脉冲发生器产生连续的脉冲。循环电路采用74LS161 ,74LS194实现彩灯的循环控制。具体主要通过两片双向移位寄存器74LS194 来实现彩灯电路控制,通过脉冲发生器来产生连续时钟信号的输入,由74LS161 计数器来控制信号的移动方向,实现左移,右移及亮灭的功能。总体电路原理图如下: 五、单元电路的设计:

彩灯控制器

北华航天工业学院 《EDA技术综合设计》 课程设计报告 报告题目:彩灯控制器综合实验作者所在系部:电子工程系 作者所在专业:自动化 作者所在班级:B08223 作者姓名:王磊 指导教师姓名:崔瑞雪 完成时间:2010.12.01

课程设计任务书

内容摘要 随着科技的发展, 在现代生活中, 彩灯作为一种景观应用越来越多。EDA技术的应用引起电子产品及系统开发的革命性变革, 现介绍了以VHDL为基础的十六路彩灯控制系统。同时减少了设计芯片的数量、缩小了体积、降低了功耗、提高了设计的灵活性、可靠性和可扩展性。在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段,而VHDL语言则是EDA的关键技术之一,它采用自顶向下的设计方法,即从系统总体要求出发,自上至下地将设计任务分解为不同的功能模块,最后将各功能模块连接形成顶层模块,完成系统硬件的整体设计。本文介绍了基于EDA技的多路彩灯控制器的设计与分析。在MAX+PLUSII环境下采用VHDL语言实现,论述了基于VHDL语言和CPLD芯片的数字系统设计思想和实现过程。电子设计自动化技术EDA的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件(PLD)使得EDA 技术的应用走向普及。CPLD是新型的可编程逻辑器件,采用CPLD进行产品开发可以灵活地进行模块配置,大大缩短了产品开发周期,也有利于产品向小型化,集成化的方向发展。而VHDL语言是EDA的关键技术之一,它采用自顶向下的设计方法,完成系统的整体设计。 关键词: VHDL,彩灯,仿真,控制

目录 一、设计要求 (1) 二、实验方案 (1) 三、实验原理 (1) 四、设计模块 (1) 五、程序仿真调试 (2) 六、程序下载与实现 (2) 七、实验结果分析 (3) 八、心得体会 (3) 参考文献 (4) 附录 (4)

数电课程设计-彩灯

课程设计报告 ( 2009 -- 2010 年度第二学期) 名称:数字电子技术课程设计 题目:彩灯控制系统 学号: 学生姓名: 成绩: 日期:2010 年5 月21 日

目录 一、电子技术课程设计的目的与要求 (3) 二、课程设计名称及设计要求 (3) 三、系统框图及简要说明 (3) 四、方案选择与论证 (4) 五、单元电路设计(原理、芯片、参数计算等) (4) 六、总体电路 (6) 七、实验过程 (6) 八、心得体会 (7) 附录I:总原理图 (7) 附录II:multisim仿真图 (8) 附录III:元器件清单 (9) 附录IV:参考文献 (9)

一、电子技术课程设计的目的与要求 设计目的: 课程设计作为数字电子技术课程的重要组成部分,目的是使学生进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养学生实际动手能力以及分析、解决问题的能力。 按照本专业培养方案要求,在学完专业基础课数字电子技术课程后,应进行课程设计,其目的是使学生更好地巩固和加深对基础知识的理解,学会设计小型数字系统的方法,独立完成系统设计及调试,增强学生理论联系实际的能力,提高学生电路分析和设计能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 设计要求: 1.教学基本要求 要求学生独立完成选题设计,掌握数字系统设计方法;完成系统的组装及调试工作;在课程设计中要注重培养工程质量意识,按要求写出课程设计报告。 2.能力培养要求 (1)通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 (2)通过实际电路方案的分析比较、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 (3)掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 (4)综合应用课程中学到的理论知识去独立完成一个设计任务。 (5)培养严肃认真的工作作风和严谨的科学态度。 二、课程设计名称及设计要求 彩灯控制电路: 1.设计任务 本课题要求设计一个具有一定变幻功能的四路彩灯显示系统。 2.技术指标及要求: (1)四路彩灯从左向右逐次渐亮,间隔为1秒。 (2)四路彩灯从右向左逐次渐灭,间隔为1秒。 (3)四路彩灯同时点亮,时间为0.5秒,然后同时变暗,时间为0.5秒, 反复4次。 3. (选做)多种图形方案选择显示。 三、系统框图及简要说明 图一简化设计框图

相关文档
最新文档