基于Multisim10电子数字钟的设计与仿真

基于Multisim10电子数字钟的设计与仿真
基于Multisim10电子数字钟的设计与仿真

图1 电子数字钟系统组成框图3 原理图的设计

3.1 总原理图

图2 电子数字钟总原理图

3.2 工作原理

3.2.1直流稳压电源

串联型直流稳压电源的设计,该系统是由整流、滤波和稳压三部分组成,桥式整流电路加上电容滤波后,使输出的波形更平滑,稳压部分,一般有四个环节:调整环节、基准电压、比较放大器和取样电路。当电网电压或负载变动引起输出电压Uo变化时,取样电路将输出电压Uo的一部分馈送给比较放大器与基准电压进行比较,产生的误差电压经放大后去控制调整管的基极电流,自动地改变调整管的集一射极间电压,补偿Uo的变化,从而维持输出电压不变。[7]

图4 整流滤波后电压的波形和稳压输出电压的波形仿真

图5 整流滤波后电压的波形和稳压输出电压的波形

3.2.2 1Hz标准脉冲发生器

振荡器可由晶振组成,也可以由555与RC组成的多谐振荡器。由555定时器得到1Hz的脉冲,功能主要是产生标准秒脉冲信号和提供功能扩展电路所需要的信号。

3.2.3计数设计

在数字钟的控制电路中,分和秒的控制都是一样的,都是由一个十进制计数器和一个六进制计数器串联而成的,在电路的设计中我采用的是统一的器件

74LS161N的反馈置数法来实现十进制功能和六进制功能,根据74LS161的结构把输出端的0101(十进制为5)用一个与非门74LS00引到Load端便可置0,这样就实现了六进制计数。同样,在输出端的1001(十进制为9)用一个与非门74LS00引到Load端便可置0,这样就实现了十进制计数。在分和秒的进位时,用秒计数器的Load端接分计数器的CLK控制时钟脉冲,脉冲在上升沿来时计数器开始计数。

时计数器可由两个十进制计数器串接并通过反馈接成二十四制计数器。

3.2.4 译码显示电路设计

译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。4511是一个用于驱动共阴极LED (数码管)显示器的BCD 码—七段码译码器,特点如下:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。可

直接驱动LED显示器。

由计数器得到的4位二进制码的必须通过译码后转为人们习惯的数字显示。如12:54:30的二进制码为00010010:01010100:00110000。译码之后再驱动7段数码管显示时、分、秒。LED七段显示数码管显示时、分、秒。[5][2]

3.2.5 校时电路

时钟出现误差时,需校准。当数字钟接通电源或者计时出现误差时,需要校正时间。校时是数字钟应具备的基本功能。对校时电路的要求是,在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。校时方式有快校时和慢校时两种,快校时是,通过开关控制,使计数器对1Hz的校时脉冲计数。慢校时是用手动产生单脉冲作校时脉冲下图所示为校时电路和校分电路。其

中S

1是校分用的控制开关,S

2

为校时用的控制开关,它们的控制功能下表所示。

校时脉冲采用分频器输出的1Hz脉冲,当S

1或S

2

分别为0时可进行快校时。如

果校时脉冲由单脉冲产生器提供,则可以进行慢校时。

Multisim仿真软件校时的具体设计方法是:用一个单刀双掷开关切换计数功能与校时功能,另一端接计数器的脉冲输入端,开关置于函数发生器这一端便可以校时,置于计数器的进位端便是计时。不校正时间时开关都应打在与非门的那一端。

图6 开关接校时电路时

图7 开关接计数电路时

3.2.6闹时电路仿真

在指定的时刻发出信号,或驱动音响电路“闹时”;或对某装置的电源进行接通或断开“控制”。不管时闹时还是控制,都要求时间准确,即信号的开始时刻与持续时间必须满足规定的要求。

例如:要求上午7时59分发出闹时信号,持续时间为1分钟。7分59分对应数字钟的时个位计数器的状态为(Q 3Q 2Q 1Q 0)HI =0111,分十位计数器的状态为(Q 3Q 2Q 1Q 0)M2=0101, 分个位计数器的状态为(Q 3Q 2Q 1Q 0)M1=1001。若将上述计数器输出为“1”的所有输出端经过与门电路去控制音响电路,可以使音响电路正好在7点59分响,持续1分钟后(8点)停响。所以闹时控制信号z 的表达式为Z=(Q 2Q 1Q 0)HI *(Q 2Q 0)M2*(Q 3Q 0)M1*M,其中M 为上午的信号输出,要求M=1。

用与非门实现可将Z 进行变换,即Z=1

03202012)()()(M M HI Q Q Q Q M Q Q Q ???

其逻辑电路如图,74LS20为4输入二与非门,74LS03为集成电路开路(OC门)的2输入四与非门,因OC门的输出端可以进行“线与”,使用时在它们的输出端

=3.3Ω。由图可知在上午7点59分时,音响电与电源+5V端之间应接一电阻R

L

路的晶体管导通,则扬声器发出1KHz的声音。持续1分钟到8点整,晶体管因输入端为0而截止,电路停闹。[2]

图8 闹时电路及仿真

3.2.7 整点报时

整点报时的功能要求时,每当数字钟计时快到整点时发出声响。

图9 整点报时蜂鸣器仿真图

图10 非整点报时蜂鸣器仿真图

4仿真调试

Multisim8是一个电路原理设计、电路功能测试的虚拟仿真软件,其元器件库提供数千种电路元器件供实验选用,同时也可以新建或扩充已有的元器件库。有超强板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。Multisim8软件进行设计仿真分析的基本步骤为:设计创建仿真电路、原理图电路图、选项的设置、使用仿真仪器、设定仿真分析方法,启动Multisim8仿真。

仿真分析开始前可双击仪器图标打开仪器面板。准备观察被测试波形。按下程序窗口右上角的启动/停止开关状态为1,仿真分析开始。若再次按下,启动/停止升关状态为0,仿真分析停止。电路启动后,需要调整示波器的时基和通道控制,使波形显示正常。

在Multisim软件中,根据数字钟的总电路图,设置函数发生器的频率为1Hz,把A开关和B开关都接到与非门的那端,再运行就可以让数字钟自行计数了。如果运行的太慢可以适当调节函数发生器的频率。如果把A开关接到函数发生器上,就是对小时进行校正,如果把B开关接到函数发生器上那就是对分进行校正。小时的计数是从01到12,不是从00到11,但在校正小时位时初始状态仍为00。

振荡器的仿真可以直接运行,然后用示波器观察现象便可。直流稳压电源的仿真中可以看到用万用表测量出关键点的电压5.123V。用示波器A通道和B 通道分别显示整流滤波后电压UI的波形和稳压输出电压UO的波形,从示波器

显示窗口可以看出:上面一条锯齿波曲线为UI波形,下面一条线为UO波形。如果以上设计的电路通过模拟仿真分析,不符合设计要求,可通过逐渐改变元器件参数,或更改元器件型号,使设计符合要求,最终确定出元器件参数。并可对更改的电路立即进行仿真分析,观察虚拟结果是否满足设计要求。

5电路功能与特性总结:

本设计的电子数字钟能经振荡分频器、计数器、译码和显示电路将时间“时”“分”“秒”用数字的方式显示出来,并且需要校正电路使其准确工作,还有定时和报时功能。控制较简单,没有遥控、防电台报时等复杂电路。

调试时有的器件在理论上可行,但在实际运行中就无法看到效果,所以得换不少器件,有时无法找出错误便更换器件重新接线以使电路正常运行。Multisim 软件有时会出问题,在理论上可行的电路在调试中未必能显示出来,这就需要耐心、仔细地分析和解决问题,不断地尝试才能得出正确的答案。

简易数字钟设计(已仿真)

简易数字钟设计 摘 要 本文针对简易数字钟的设计要求,提出了两种整体设计方案,在比较两个方案的优缺点后,选择了其中较优的一个方案,进行由上而下层次化的设计,先定义和规定各个模块的结构,再对模块内部进行详细设计。详细设计的时候又根据可采用的芯片,分析各芯片是否适合本次设计,选择较合适的芯片进行设计, 最后将设计好的模块组合调试,并最终在EWB 下仿真通过。 关键词 数字钟,EWB ,74LS160,总线,三态门,子电路 一、引言:所谓数字钟,是指利用电子电路构成的计时器。相对机械钟而言,数字钟能达到准确计时,并显示小时、分、秒,同时能对该钟进行调整。在此基础上,还能够实现整点报时,定时报闹等功能。 设计过程采用系统设计的方法,先分析任务,得到系统要求,然后进行总体设计,划分子系统,然后进行详细设计,决定各个功能子系统中的内部电路,最后进行测试。 二、任务分析:能按时钟功能进行小时、分钟、秒计时,并显示时间及调整时间,能整点报时,定点报时,使用4个数码管,能切换显示。 总体设计 本阶段的任务是根据任务要求进行模块划分,提出方案,并进行比较分析,最终找到较优的方案。 方案一、采用异步电路,数据选择器 将时钟信号输给秒模块,秒模块的进位输给分模块,分模块进位输入给时模块,切换的时候使用2选1数据选择器进行切换,电路框图如下: 该方案的优点是模块内部简单,基本不需要额外的电路,但缺点也很明显,该方案结构不清晰,模块间关系混乱,模块外还需使用较多门电路,不利于功能扩充,且使用了异步电路,计数在59的时候,高一级马上进位,故本次设计不采用此方案。 方案二、采用同步电路,总线结构 时钟信号分别加到各个模块,各个模块功能相对独立,框图如下: 显示 切换 秒钟 分钟 小时 控制 1Hz 脉冲信号 闹钟

数字钟设计(带仿真和连接图)

- 数字电子技术课程设计报告 题目:数字钟的设计与制作 : 专业:电气本一班 学号:姓名: 指导教师: 时间: - —

一、设计内容 数字钟设计 … 技术指标: (1)时间以24小时为周期; (2能够显示时,分,秒; (3)有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; (4)计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报时; (5)为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号. ~ 二、设计时间: 第十五、十六周 三、设计要求: (1)画出设计的电路原理图; $ (2) 选择好元器件及给出参数,在原理图中反应出来; (3)并用仿真软件进行模拟电路工作情况; (4)编写课程报告。

! 摘要 数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。一般由振荡器、分频器、计数器、译码器、数码显示器等几部分组成。 振荡电路:主要用来产生时间标准信号,因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以采用石英晶体振荡器。 分频器:因为振荡器产生的标准信号频率很高,要是要得到“秒”信号,需一定级数的分频器进行分频。 计数器:有了“秒”信号,则可以根据60秒为1分,24小时为1天的进制,分别设定“时”、“分”、“秒”的计数器,分别为60进制,60进制,24进制计数器,并输出一分,一小时,一天的进位信号。 译码显示:将“时”“分”“秒”显示出来。将计数器输入状态,输入到译码器,产生驱动数码显示器信号,呈现出对应的进位数字字型。 由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。 } 为了使数字钟使用方便,在设计上使用了一个变压器和一个整流桥来实现数字钟电能的输入,使得可以方便地直接插入220V的交流电就可以正常地使用了。关键词数字钟振荡计数校正报时

数字钟的设计与制作过程

数字钟的设计与制作 一、设计指标 1. 显示时、分、秒。 2. 可以24小时制或12小时制。 3. 具有校时功能,可以对小时和分单独校时,对分校时的时候,停止分向小时进位。校时时钟源可以手动输入或借 用电路中的时钟。 4. 具有正点报时功能,正点前10秒开始,蜂鸣器1秒响1秒停地响5次。(选做) 5. 为了保证计时准确、稳定,由晶体振荡器提供标准时间的基准信号。 二、设计要求 1. 画出总体设计框图,以说明数字钟由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输 路径、方向和频率变化,并以文字对原理作辅助说明。 2. 设计各个功能模块的电路图,加上原理说明。 3. 选择合适的元器件,并选择合适的输入信号和输出方式,在面包板上接线验证、调试各个功能模块的电路。在确 保电路正确性的同时,输入信号和输出方式要便于电路的测试和故障排除。(也可选用Mutisim仿真) 4. 在验证各个功能模块基础上,对整个电路的元器件和布线,进行合理布局,进行整个数字钟电路的接线调试。 三、制作要求 自行在面包板上装配和调试电路,能根据原理、现象和测量的数据检查和发现问题,并加以解决。 四、设计报告要求 1. 格式要求(见附录1) 2. 内容要求 ①设计指标。 ②画出设计的原理框图,并要求说明该框图的工作过程及每个模块的功能。 ③列出元器件清单,并画出管脚分配图和芯片引脚图。 ④画出各功能模块的电路图,加上原理说明(如2、5进制到10进制转换,10进制到6进制转换的原理,个位到 十位的进位信号选择和变换等)。 ⑥画出总布局接线图(集成块按实际布局位置画,关键的连接应单独画出,计数器到译码器的数据线、译码器到数 码管的数据线可以简化画法,但集成块的引脚须按实际位置画,并注明名称)。 ⑦数字钟的运行结果和使用说明。 ⑧设计总结:设计过程中遇到的问题及解决办法;设计过程中的心得体会;对课程设计的内容、方式等提出建议。 五、仪器与工具 1. 直流电源1台。 2. 四连面包板1块。 3. 数字示波器(每两人1台) 4. 万用表(每班2只)。 5. 镊子1把。 6. 线剥钳1把。 7. 斜口钳1把。

高仿真数码管电子钟课程设计报告

高仿真数码管电子钟 目录 高仿真数码管电子钟 (2) 摘要 (2) 1 引言 (3) 1.1 本系统研究的背景和意义 (3) 1.2 本系统主要研究内容 (3) 2 系统总体设计 (4) 2.1 系统设计方案与论证 (4) 2.11 FPGA设计方案 (4) 2.12 NE555时基电路设计方案 (4) 2.13单片机设计方案 (5) 2.14最终设计方案 (5) 2.2 系统总体结构图 (5) 3 系统硬件设计 (7) 3.1 芯片介绍 (7) 3.11 8051单片机简单介绍 (7) 3.12 74LS138 3-8译码器介绍 (9) 3.2 系统硬件原理图 (11) 3.3复位模块 (11) 3.4按键模块 (12) 3.5显示驱动模块 (13) 4 系统软件设计 (13) 4.1 系统软件总体设计 (13) 4.2 中断子程序 (14) 4.3按键扫描子程序 (15) 5 系统调试 (16) 5.1 硬件调试 (16) 5.2 软件调试 (16) 6 结论 (16) 参考文献 (17) 附录 (18)

高仿真数码管电子钟 摘要 电子时钟主要是利用电子技术将时钟电子化、数字化,拥有时钟精确、体积小、界面友好、可拓展性能强等特点,被广泛应用于生活和工作当中。 本文主要为实现一款可正常显示时间、带有h AM/ 24制调整、带有PM h12 / 显示以及时间校准功能的一款基于单片机仿真的多功能电子钟。 本文对当前的电子钟开发手段进行了比较与分析,最终确定了采用单片机技术实现高仿真电子钟的设计。本设计采用51 AT芯片作为核心,采用外部时钟 89C 脉冲定时,用oteus Pr软件自带的电子钟组件实现高度仿真的显示效果。软件部分主要采用简单且流通性强的C51语言编写实现。这种高度仿真的电子钟具有电路简单,读取方便、显示直观、功能多样、时间精度较高、操作简单、编程容易成本低廉等诸多优点。 本次设计主要是用oteus Pr电路软件实现了高仿真数码管电子钟的仿真。稍加改装,增加部分功能所生产出的实际产品即可应用于一般的生活和工作中,从而给人们的生活和生产带来便利,符合电子仪器仪表的发展趋势,具有广阔的市场前景。 关键词:电子钟、单片机、51 AT、C51 89C

数字时钟的Multisim设计与仿真

数字时钟的M u l t i s i m 设计与仿真 Company number:【WTUT-WT88Y-W8BBGB-BWYTT-19998】

数字电子技术课程设计 学院:信息工程学院 班级:电气二班 姓名:刘君宇张迪王应博 学号:

数字时钟的Multisim设计和仿真 一、设计和仿真要求 学习综合数字电子电路的设计、实现 基础调研 应用设计、逻辑设计、电路设计 用Multisim 软件验证电路设计 分析电路功能是否符合预期,进行必要的调试修改 撰写Project 报告,提交Multisim 二、总体设计和电路框图 24 分、校时部分。主要由矩形波产生器、秒计数器、分计数器、时计数器、LED 图1. 数字钟电路框图 七段显示数码管、时间校准电路,闹钟电路构成。 五、结论 由脉冲发生器、秒计数器、分计数器、时计数器、LED显示数码管设计了数字时钟电路,经过仿真得出较理想的结果,说明电路图及思路是正确的,可以实现所要求的基本功能:计时、显示精确到秒、时分秒校时。 下页附设计感想和分工 整点报时设计体会

刘君宇分工:完成电路设计,整点报时,闹钟,扩展功能) 通过对软件Multisim的学习和使用,进一步加深了对数字电路的认识。在仿真过程中遇到许多困难,但通过自己的努力和同学的帮助都一一克服了。首先,连接电路图过程中,数码管不能显示,后经图形放大后才发现是电路断路了。其次,布局的时候因元件比较多,整体布局比较困难,因子电路不如原电路直观,最后在不断努力下,终于不用子电路布好整个电路。 调试时有的器件在理论上可行,但在实际运行中就无法看到效果,所以得换不少器件,有时无法找出错误便更换器件重新接线以使电路正常运行。在整个设计中,计数器的接线比较困难,反复修改了多次,在认真学习其用法后采用归零法和置数法设计出60进制和24进制的计数器。 同时,在最后仿真时,预置的频率一开始用的是1hz,结果仿真结果反应很慢,后把频率加大,这才在短时间内就能看到全部结果。总之,通过这次对数字时钟的设计与仿真,为以后的电路设计打下良好的基础,一些经验和教训,将成为宝贵的学习财富。

数字时钟的设计与仿真

湖北民族学院 课程设计报告 数字时钟设计与仿真 课程:电子线路课程设计 专业:电子信息科学与技术 班级: 0312409 学号: 031240910 学生姓名:谢加龙 指导教师:易金桥 2014年 06月 21日

信息工程学院课程设计任务书 2014-06-21

摘要 基于单片机AT89c51设计而成的简易数字电子时钟,其主要功能皆由对单片机编程即由软件完成,外围硬件电路只包括报时电路、键盘输入电路和显示电路三个模块。具有外围硬件电路简单、运行功能可靠的优点。 关键词:单片机时钟键盘输入显示

目录 1、系统设计要求 (1) 1.1 基本功能 (1) 1.2扩展功能 (1) 2、硬件设计 (2) 2.1系统设计方案选择 (2) 2.2系统原理框图 (2) 2.3各单元的功能描述 (2) 2.4电路连接图 (2) 2.5元器件清单列表 (2) 2.6所用芯片的管脚图 (2) 3、软件设计 (3) 3.1主程序的流程图 (3) 3.2键盘扫描程序流程图 (3) 3.3发声程序流程图 (3) 3.4总程序 (3) 4、调试 (4) 4.1仿真调试 (4) 4.2硬件调试 (4) 5、总结 (5) 参考文献 (6)

1、系统设计要求 1.1 基本功能 (1)、要求准确显示“时”、“分”、“秒”,24 小时制; (2)、具有整点报时功能,在每小时59 分51 秒、53 秒、55 秒、57 秒发出低音,59秒整发出高音; (3)、系统工作符合一般时钟要求。 1.2扩展功能: (1)、具有校时功能,用户可修改“时”、“分”,且互不影响; (2)、可切换12 小时制和24 小时制。

数字电子钟仿真

数字电子钟课程总结 题目:基于Multisim10.0的多功能电子钟的设计与仿真 学院:电子工程学院 专业:电子信息工程 学 号: 20121271008 姓名:卫丽业 指导教师:蒋品群 2014年 05月

基于Multisim10.0的多功能电子钟的设计与仿真 摘 要: 数字钟是由555定时器电路产生1KHz秒时钟信号, 经过分频器分频后输出稳定的秒脉冲, 作为时间基准。秒计数器满60向分计数 器进位,分计数器满60向时计数器进位, 时计数器以24为一个周 期。计数器的输出经译码器送到数码管显示,可将时、分、秒在相 应位置正确显示。计时出现误差或者调整时间时可以用校时电路 进行时、分的调整,并实现整点报时功能。 关键词: 数字钟 分频器 译码器 校时电路 整点报时电路 定时器 1.概述 主要是通过Multisim10.0软件作为应用平台,设计出电子数字钟逻辑电路,并在这个平台上进行仿真,验证它的工作状态是否正常,以实现要求的功能电路。 1.1 研究目标与任务 设计一个24小时制的数字时钟。要求计时、显示精确到秒、有校时功能,采用中小规模集成电路设计。 1.2 研究步骤 (1)根据要求,设计出比较合理的方案,选取合适的硬件器件,熟悉各个器件的性能; (2)通过Multisim10.0软件进行仿真和调试; (6)实验总结。 2.总体设计和电路框图 2.1 设计思路 1).由秒时钟信号发生器、计时电路、校时电路和整点报时电路构成。 2).秒时钟信号发生器可由555定时器构成。 3).计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 4).校时电路采用开关控制时、分计数器的时钟信号为校时脉冲以完成校时。 2.2 电路框图

智能电子钟设计与制作

小型智能系统设计与制作 学习情境一智能电子钟设计与制作 一、教学引导 学习目标: 1. 通过查阅资料,能分析电子钟的功能与技术要求,确定电子钟的基本结构; 2. 能根据功能与技术要求,进行显示器、键盘、时钟芯片等器件的选用; 3. 能根据小组成员的实际情况,合理分配学习性工作任务,制订实施计划; 4. 会制定任务设计方案及程序设计结构; 5. 会设计显示、键盘、时钟芯片等各种接口电路; 6. 能使用软件设计、仿真电路并进行PCB制作。 7. 能够整理设计文档,编写智能电子钟的使用说明书。 学习内容 1.接受智能电子钟的设计制作任务,阅读任务书 2.收集资料,了解相关知识 3.制订设计方案 4.显示、键盘等接口电路设计和PCB板设计、制作 5.智能电子钟硬件安装与调试 6.智能电子钟软件设计与调试 7.智能电子钟功能、技术指标测试 8.编写智能电子钟的使用说明书 9.文档资料归档 学习任务 1.完成智能电子钟的方案设计 2.完成智能电子钟的设计与制作 3.完成技术文档的编写 4.完成学习过程的自我评价表填写 二、任务分析 学习要求:在这一环节要求学生分组并结合一下引导问题查阅资料,在充分了解智能电子钟的种类以及各种智能电子钟的技术要求的情况下,确定本次设计的智能电子钟的用途,完成任务分析表、填写过程记录表。 1.任务书 任务:设计并制作一款智能电子钟。 基本要求: (1)以24h计时方式工作; (2)用数码管显示时间和日期; (3)通过按键可以选择显示内容、修改时间; (4)具有校时功能; (5)具有整点报时功能; (6)时间误差:≤0.02%。 可选要求: (1)可以设置闹钟时刻; (2)闹钟时刻到后,若不关闭闹铃,可以间隔5分钟闹一次;

多功能数字钟电路设计指导书及仿真图,绝对能用

课题一数字电子钟逻辑电路设计 一、简述 数字电子钟是一种用数字显示秒、分、时、日的计时装置,与传统的机械钟相比,它具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛的应用。小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。 数字电子钟的电路组成方框图如图所示。 图数字 电子钟框图 由图可见,数字电子钟由以下几部分组成:石英晶体振荡器和分频器组成的秒脉冲发生器;校时电路;六十进制秒、分计数器,二十四进制(或十二进制)计时计数器;秒、分、时的译码显示部分等。 二、设计任务和要求 用中、小规模集成电路设计一台能显示日、时、分、秒的数字电子钟,要求如下: 1.由晶振电路产生1Hz标准秒信号。 2.秒、分为00~59六十进制计数器。 3. 时为00~23二十四进制计数器。 4. 周显示从1~日为七进制计数器。 5. 可手动校时:能分别进行秒、分、时、日的校时。只要将开关置于手动位置,可分别对

秒、分、时、日进行手动脉冲输入调整或连续脉冲输入的校正。 6. 整点报时。整点报时电路要求在每个整点前呜叫五次低音(500Hz ),整点时再呜叫一次高音(1000Hz )。 三、可选用器材 1. 通用实验底板 2. 直流稳压电源 3. 集成电路:CD4060、74LS74、74LS161、74LS248及门电路 4. 晶振:32768 Hz 5. 电容:100μF/16V 、22pF 、3~22pF 之间 6. 电阻:200Ω、10K Ω、22M Ω 7. 电位器:Ω或Ω 8. 数显:共阴显示器LC5011-11 9. 开关:单次按键 10. 三极管:8050 11. 喇叭:1 W /4,8Ω 四、设计方案提示 根据设计任务和要求,对照数字电子钟的框图,可以分以下几部分进行模块化设计。 1. 秒脉冲发生器 脉冲发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,通常用晶体振荡器发出的脉冲经过整形、分频获得1Hz 的秒脉冲。如晶振为32768 Hz ,通过15次二分频后可获得1Hz 的脉冲输出,电路图如图所示。 74LS74 1Hz 图 秒脉冲发生器

PROTEUS仿真的数字电子钟设计

PROTEUS仿真的数字电子钟设计 【摘要】电子钟作为现代人日常生活与工作中一种最为多见的计时工具之一,同人们的生活密切相关,而数字电子钟又凭借着小体积、轻重量与准确计时、结构简单以及少耗电等优点被广泛应用在日常生活中。故文章介绍一种基于PROTEUS仿真软件的数字电子钟,并对其设计与仿真调试情况展开具体分析。 【关键词】PROTEUS仿真;数字电子钟;设计方案 一、引言 PROTEUS仿真软件,作为Labeenter Electronics公司研发出来的一款用于电路设计和仿真的软件,主要涵盖了ISIS 与ARES等软件模块,其中,ISIS模块主要是用于电路原理图的布图和仿真,而ARES模块则多用于PCB设计。在该仿真软件中,有着极为丰富的资源,拥有30多个元器件库,并为设计者提供了27000个以上的仿真元器件,为数字电路与模拟电路混合仿真效果的实现奠定了良好的基础。同时,在该软件中,还可为用户提供了逻辑分析仪、虚拟终端与信号发生器以及交直流电压表等仿真仪器与仪表资源,即实现了同一仪器与仪表在同一个电路中的随意调整[1]。下面,基于PROTEUS仿真软件设计一数字电子钟。

二、数字电子时钟的设计分析 从本质上来说,数字电子钟主要可分为分频器、振荡器与译码器等几部分,其中,分频器与振荡器共同构成了一个“标准秒信号的发生器”,而其计时系统则由不同进制的计数器、显示器与译码器构成,当秒信号被输送到计数器中时开始计数,而后用“时”、“分”、“秒”的形式将累积结果显示出来[2]。下面,对数字电子钟的设计展开具体分析。 图1 本次设计主要以AT89C2051为其核心元器件(因PROTEUS仿真软件无该元器件,故设计原理图时以 AT89C4051代替),主要分成以下几个部分:①振荡电路,该部分作为计时器的一个核心部分,其频率的精确性与稳定性直接关系到计时器的总体准确度,故为达到最佳精确度,本次设计选用晶体振荡器来组成其振荡电路;②按键电路,如图1-a,即设计了S1、S2与S3三个按键,并使之分别同P3.5、P3.4与P3.2的端口进行复用,只要对应端口输出高电平的时候,该单片机就可读取出按键的当前状态们,并赋予其相对值;③蜂鸣器电路。在数字电子钟中,其响铃电路主要是由一个蜂鸣器与一个PNP型的三极管构成的,并经 AT89C2051中的P3.7端口来驱动,若三极管被导通之后,其蜂鸣器就会发出一定响声,并测得其J3两侧为高低平,若蜂鸣器无声,那么,J3两端的电压差则是低电平,然而,因

基于Multisim的数字时钟设计.(精选)

东北大学 课程设计报告 课程设计名称:数字电子技术课程设计 专题题目: 指导教师: 学生姓名:学号: 专业:计算机科学与技术班级: 设计日期: 2017 年7 月 3 日~ 2017 年7 月7日

目录 摘要 (3) Abstract (3) 第1章概述 (4) 1.1设计思路 (4) 1.2主要内容 (4) 第2章课程设计任务及要求 (5) 2.1 设计任务 (5) 2.2 设计要求 (5) 第3章系统设计 (6) 3.1 方案论证 (6) 3.2 系统设计 (6) 3.2.1 结构框图及说明 (6) 3.2.2 系统原理图及工作原理 (7) 3.3单元电路设计 (8) 3.3.1数字时钟秒脉冲信号的设计 (8) 3.3.2器件分析 (8) 3.3.3 计数器设计 (9) 3.3.4 计时电路设计 (11) 3.3.5 数字时钟电路设计 (12) 3.3.6 校时电路 (12) 3.3.7 整点报时 (13) 3.3.8 闹钟电路 (14) 第4章仿真调试 (16) 4.1时钟显示 (17) 4.1.1 时钟显示完整的00:00:00 (17) 4.1.2 时钟完整显示01:00:00 (17) 4.1.3 时钟完整显示23:59:59 (18) 4.1.4 仿真开关校准“秒”电路 (18) 4.1.5 仿真开关校准“分”电路 (19) 4.1.6 仿真开关校准“时”电路 (19) 4.2 整点报时 (20) 4.2.1 07:59:50—07:59:59报时 (20) 4.3 闹钟电路 (21) 4.3.1 7:59:00闹钟设定 (21) 第5章结论 (22) 第6章利用Multisim14.0仿真软件设计体会 (23) 参考文献 (23) 第7章收获、体会和建议 (24)

数字电子钟设计说明..

数字电子钟课程设计 一、设计任务与要求 (1)设计一个能显示时、分、秒的数字电子钟,显示时间从00: 00: 00到23: 59: 59; (2)设计的电路包括产生时钟信号,时、分、秒的计时电路和显示电路(3)电 路能实现校正 (5)整点报时 二、单元电路设计与参数计算 1. 振荡器 石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有 了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。这用压电谐振的频率即为晶体振荡器的固有频率。 2. 分频器 由于振荡器产生的频率很高,要得到秒脉冲需要分频,本实验采用一片74LS90 和两片74LS160实现,得到需要的秒脉冲信号。

3. 计数器 秒脉冲信号经过计数器,分别得到“秒”个位、十位、“分”个位、十位以及 “时”个位、十位的计时。“秒” “分”计数器为六十进制,小时为二十四进制。 (1)六十进制计数 由分频器来的秒脉冲信号,首先送到“秒”计数器进行累加计数,秒计数器应完 成一分钟之内秒数目的累加,并达到 60秒时产生一个进位信号。本作品选用一 片74LS161和一片74LS160采取同步置数的方式组成六十进制的计数器。 (2)二十四进制计数 “24翻1”小时计数器按照“ 00— 01—02,, 22—23— 00—01”规律计数。与生 活中计数规律相同。二十四进制计数同样选用74LS161和74LS160计数芯片。但 清零方式采用的是异步清零方式。 MMgM 加 EHagij Z 1 进位信号 脉冲

数字钟的设计与制作

数字钟的设计与制作 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。 从有利于学习的角度考虑,这里主要介绍以中小规模集成电路和PLD器件设计数字钟的方法。 1 数字钟的基本组成及工作原理 1.1数字钟的构成 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。图1.1所示为数字钟的一般构成框图。

图1.1 数字钟的组成框图 ⑴晶体振荡器电路 晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。 ⑵分频器电路 分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz的方波信号供秒计数器进行计数。分频器实际上也就是计数器。 ⑶时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。 ⑷译码驱动电路 译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。 ⑸数码管 数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管,本设计提供的为LED数码管。 1.2数字钟的工作原理 1)晶体振荡器电路 晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定。 一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,如图1.2所示,从图上可以看出其结构非常简单。该电路广泛使用于各种需要频率稳定及准确的数字电路,如数字钟、电子计算机、数字通信电路等。

multisim数字钟的设计与仿真

吉首大学 数字时钟的设计与仿真

目录 1.设计要求 2.总电路图及工作原理 3.电路组成介绍 3.1脉冲形成电路 3.2分频电路 3.3 60进制计数器及显示电路3.4 12进制计数器及显示电路3.5 时间设置电路 4. 电路的测试 5.分析与评价 附录:元器件清单

1.设计要求 本次设计任务是要求用Multisim12.0软件设计一个数字时钟电路,即用数字显示出时间结果。设计要求如下: (a)以数字形式显示时、分、秒。 (b)小时计时采用12进制的计时方式,分、秒采用60进制的计时方式。 (c)要求能够对时钟进行时间设置。 2. 总电路图及工作原理 数字时钟的总电路图如下所示: 数字时钟工作原理:数字时钟电路由555振荡发生器、分频器、两个60进制分秒计数器、一个12进制小时计数器以及6个数字显示器组成。电路工作时由555振荡器产生频率为1000HZ的脉冲,经由三个74LS90D构成的千分频的分频器得到频率为1HZ的脉冲,脉冲输入计数电路(分秒由60进制计数电路计数,小时由12进制计数电路计数),然后将相应数字显示到数字显示器上即所要显示的时间。另外,时钟的时间设置可以通过三个与单刀双掷开关相连的时钟信号发生器来实现。

电路的设计流程图如下所示 3.电路组成介绍 3.1 脉冲形成电路 脉冲形成电路为555计时器组成的振荡电路。考虑到时钟对精度要求较高,故在时钟电路中由555振荡电路产生频率为1KHz的脉冲信号,然后经过千分频的分频器分频产生1Hz脉冲。555振荡器的参数确定:T=0.7(R1+R2)C=1ms,f=1/t=1KHZ,故可令R1=1kΩ,R2=10KΩ,C=0.1uF。(以上设置在实际仿真的时候速度过慢,故在实际仿真中): 脉冲形成电路如下所示

数字时钟的multisim设计与仿真.doc

电子电路Multisim设计和仿真 学院: 专业和班级: 姓名: 学号:

数字时钟的Multisim设计和仿真 一、设计和仿真要求 学习综合数字电子电路的设计、实现和调试 1.设计一个24或12小时制的数字时钟。 2. 要求:计时、显示精确到秒;有校时功能。采用中小规模集成电路设计。 3.发挥:增加闹钟功能。 二、总体设计和电路框图 1. 设计思路 1).由秒时钟信号发生器、计时电路和校时电路构成电路。 2).秒时钟信号发生器可由555定时器构成。 3).计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 4).校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 2. 电路框图 图1. 数字钟电路框图 三、子模块具体设计 1. 由555定时器构成的1Hz秒时钟信号发生器。 由下面的电路图产生1Hz的脉冲信号作为总电路的初输入时钟脉冲。

2. 分、秒计时电路及显示部分 在数字钟的控制电路中,分和秒的控制都是一样的,都是由一个十进制计数器和一个六进制计数器串联而成的,在电路的设计中我采用的是统一的器件74LS160D 的反馈置数法来实现十进制功能和六进制功能,根据74LS160D 的结构把输出端的0110(十进制为6)用一个与非门74LS00引到CLR 端便可置0,这样就实现了六进制计数。 由两片十进制同步加法计数器74LS160级联产生,采用的是异步清零法。 显示部分用的是七段数码管和两片译码器74LS48D 。 3. 时计时电路及显示部分 由两片十进制同步加法计数器74LS160级联产生,采用的是同步置数法,u1输出端为0011(十进制为3)与u2输出端0010(十进制为2)经过与非门接两片的置数端。 显示部分用的是七段数码管和两片译码器74LS48D 。 图2. 时钟信号发生电路 图3. 分秒计时电路

基于proteus的数字电子钟的仿真设计

题目:基于Proteus的数字电子钟的设计 与仿真 课程名称:单片机系统设计与Proteus仿真 学生姓名:马珂 学生学号: 1305010323 系别:电子工程学院 专业:通信工程 年级: 13级 任课教师:徐锋 电子工程学院 2015年5月

目录 一、设计目的与要求 (3) 二、设计内容与方案制定 (3) 三、设计步骤 (3) 1.硬件电路设计 (3) 1.1.硬件电路组成框图 (3) 1.2.各单元电路及工作原理 (4) 1.3.绘制原理图 (5) 1.4.元件清单列表 (6) 2.程序设计 (6) 2.1程序流程 (6) 2.2汇编程序 (7) 四、调试与仿真 (12) 五、心得体会 (14) 六、参考文献: (14)

基于Proteus的数字电子钟的设计与仿真 一、设计目的与要求 设计目的:通过课程设计,培养学生运用已学知识解决实际问题的能力、查阅资料的能力、自学能力和独立分析问题、解决问题的能力和能通过独立思考。 设计要求:设计一个时、分可调的数字电子钟、开机显示“9-58-00”。 二、设计内容与方案制定 具有校时功能,按键控制电路其中时键、分键两个键分别控制时、分时间的调整。按分键分加1;按时键时加1。 以AT89C51单片机进行实现秒、分、时上的正常显示和进位,其中显示功能由单片机控制共阴极数码管来实现,数码管进行动态显示。 三、设计步骤 1、硬件电路设计 1.1.硬件电路组成框图 1.2.各单元电路及工作原理 (1)晶振电路 单片机的时钟产生方法有两种:内部时钟方式和外部时钟方式。本系统中

AT89C51单片机采用内部时钟方式。采用外接晶体和电容组成的并联谐振回路。其电路图如下: (2)键盘控制电路 键盘可实现对时间的校对,用两个按键来实现。按时键来调节小时的时间,按分键来调节分针的时间。其电路连接图如下: (3)显示电路 LED显示器是现在最常用的显示器之一发光二极管(LED)分段式显示器由7条线段围成8字型,每一段包含一个发光二极管。外加正向电压时二极管导通,发出清晰的光。只要按规律控制各发光段亮、灭,就可以显示各种字形或符号。显示电路显示模块需要实时显示当前的时间,即时、分、秒,因此需要6个数码管,采用动态显示方式显示时间,其硬件连接方式如下图所示。

数字钟综合设计与制作

《数字系统与逻辑设计实验》实验报告题目数字钟电路设计与PCB图设计 学院:信息工程学院系电子信息工程 专业: 班级: 学号: 学生姓名: 指导教师:

递交日期:

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合■设计□创新实验日期:2018/1/6 实验成绩:“数字钟电路设计与PCB图设计”实验报告 一、实验目的: 1、综合应用数字电路知识; 2、学习使用protel进行电子电路的原理图设计、印制电路板设计; 3、了解电路板制作、安装、调试技能。 二、实验任务及要求: 任务:设计一个12小时或24小时制的数字钟,显示时、分、秒,有校时功能,可以分别对时及分进行单独校时,使其校正到准确时间。 要求:画出电路原理图,元器件及参数选择,PCB文件生成、3D实物图等。 三、实验原理及电路设计: 1、设计方案与模块框图 利用NE555构成自激多谐振荡器,输出一个频率为1024Hz的脉冲信号。因为数字钟需要的是1Hz的信号,所以需要进行分频处理。这里采用了1024分频,利用三片74LS161分别进行8分频、8分频和16分频,最终得到1Hz的脉冲信号。60秒为1分钟,所以需要一个60进制的计数器。这里还是使用74LS161,通过同步置数进行循环,秒计数每满60向分计数进1,然后自身清零。60分钟为1小时,所以分计数采用的方法和秒计数一样。当分计数和秒计数同时进位时,扬声器发声,即为整点报时。12小时制采用12进制计数器,24小时制采用24进制计数器,两种时制的切换可以通过单刀双掷开关完成。我们在秒进位和分进位处人为地产生一个上升沿,可以完成一次进位,达到校时的目的。时分秒的通过共阴极七段数码管来显示,数码管需要74LS48进行译码。

多功能数字钟的设计与仿真

目录 1绪论 (1) 2P r o t e u s软件介绍 (2) 3数字钟的原理框图 (4) 4电路的设计 (5) 4.1主体电路的设计 (5) 4.1.1秒脉冲电路的设计 (5) 4.1.2计时及状态转换功能的实现 (6) 4.1.3译码与显示电路的设计 (8) 4.1.4校时电路的设计 (10) 4.1.5主体电路图 (11) 4.2功能扩展电路的设计 (12) 4.2.1定时控制电路的设计 (12) 4.2.2整点报时电路的设计 (15) 4.3整体电路的设计 (17) 5整体电路的仿真 (19) 6电路功能测试以及常见问题解决本法 (20) 6.1电路功能测试 (20) 6.2常见问题解决办法..............................................................................2 0 7元件清单 (21) 8心得体会 (22) 9参考文献 (23)

多功能数字钟的设计 1.绪论 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,并且可以实现更多的功能,如:定时控制、整点报时、闹钟、触摸报整点时数等,在现实生活中,各种数字钟已得到了非常广泛的使用。数字钟的设计方法有许多种,例如,可用中小规模集成电路组成数字钟,也还可以利用单片机来实现数字钟等。这些方法都各有其特点,其中利用中小规模集成电路组建数字钟,原理简单,但由于集成电路集成度有限,对于需要实现较多功能的电路设计比较复杂,对于制作者焊接和布线有较高的要求。用单片机实现的电子钟具有结构简单,并便于功能的扩展,但需要涉及到汇编以及C语言编写程序,对设计者有较高的要求。本次设计为用中小规模集成电路组成数字钟。

数字钟的设计与制作

电子技术课程设计报告题目数字钟的设计与制作 专业班级:自动化01班 姓名: 指导教师: 2011年1月7日 数字钟课程设计任务书

数字钟是一种用数字显示秒、分、时的计时装置,与以往的机械式计时相比,它具有走时准、显示直观、无机械传动装置等优点,因而得到了广泛的应用;小到人们日常生活中的电子手表,大到车船、码头、机场等公共场所的大型数显电子钟。多功能数字钟由以下几部分组成:555定时器组成的多谐振荡器构成秒脉冲发生器;校正电路;六十进制的秒、分计数器和十二进制的时计数器;秒、分、时的数码显示部分;报时电路等。 具体要求如下:钟是一种用数字电路技术实现时、分、秒计时的装置。通过数字钟的制作进一步了解了中下规模集成电路。 设计指示: 1、时间以12小时为一个周期; 2、显示时、分、秒; 3、具有校时功能,可以分别对时、分进行单独校时,使其校正 到标准时间; 4、计时过程具有报时功能,当时间到达正点前十秒进行蜂鸣报 时; 5、用555多振荡器提供表针时间基准信号。 设计要求: 1、画出电路原理图(或仿真电路图); 3、电路仿真; 2、元器件及参数选择; 4、接线及调试; 目录

一、设计任务与要求。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。4 二、总体框图。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。5 三、选择器件。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。8 四、功能模块。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。21 五、总体设计电路。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。26 六、设计体会。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。28 一、设计要求及任务

数字电子钟的设计与仿真

数字电子钟的设计与仿真 Digital electronic clock design and simulation

摘要 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。现代生活的人们越来越重视起了时间观念,可以说是时间和金钱划上了等号。对于那些对时间把握非常严格和准确的人或事来说,时间的不准确会带来非常大的麻烦,所以以数码管为显示器的时钟比指针式的时钟表现出了很大的优势。数码管显示的时间简单明了而且读数快、时间准确显示到秒。而机械式的依赖于晶体震荡器,可能会导致误差。数字钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。数字钟的精度、稳定度远远超过老式机械钟。在这次设计中,我们采用LED数码管显示时、分、秒,以24小时计时方式,根据数码管动态显示原理来进行显示,用12MHz的晶振产生振荡脉冲,定时器计数。在此次设计中,电路具有显示时间的其本功能,还可以实现对时间的调整。数字钟是其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱,因此得到了广泛的使用。 关键字:单片机LED显示 Abstract At the end of the twentieth Century, electronic technology has obtained the rapid development, under its impetus, the modern electronic products almost permeated each domains of the society, effectively promoted the development of social productivity and the improvement of social information-based degree, but also makes the modern electronic products to further improve the performance, product upgrading has become increasingly fast pace. Modern life of people more and more attention to the concept of time, can be said to be the time and money is a sign. For

数字电子技术数字时钟设计书

数字电子技术数字时钟设计书一.前言 钟表作为一种定时工具被广泛的使用在生产生活的各方面。人类最初依靠太阳的角度来进行定时,所以受天气的影响比较大,为了克服依靠自然现象定时的缺点人们发明的机器钟表,电子钟表一系列的定时工具。自改革开放以来我国科技得以高速发展,尤其是电子技术的飞速发展。各种各样的电器器材凭空而出。 下面我们就以数字钟为例简单介绍一下。数字钟我们听到这几个字,第一反应就是我们所说的数字,不错数字钟就是以数字显示取代模拟表盘的钟表,数字电子钟是一种用数字电路技术实现时、分、秒计时的装置,与机式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,电子钟表具有价格便宜,质量轻,定时误差小等优点,被广泛的应用在生产,生活的各个方面。由于电子钟能提供精确又被广泛的运用在各种测量之中。 二.设计要求 1.设计一个能直接显示“分”、“秒”的数字电子钟,要求60分钟为一计 时周期。 2.电路具有校时(分)功能。 三.设计目的 此次实验设计目的在于培养学生们的操作实践能力。通过对数字时钟原理的学习,增强同学们的理论知识以及思维能力。此次实验设计不单是理论的实现,相反的,更多的在于操作能力的锻炼。通过对数字时钟的实践操作,让同学们从中收获甚多。学会元器件识别、测试和安装的方法,掌握万用表的使用方法,学

会利用软、硬件独立进行电子设备的整机装配、调试方法,并达到产品的质量要求,从而锻炼和提高学生的动手能力,巩固和加深对电子学理论知识的理解和掌握,为以后专业设计、课程设计及毕业设计准备必要的工艺知识和操作技能。培养学生综合运用理论知识解决实际问题的能力。掌握电子线路的基本原理、基本方法,掌握焊接的基本技能,达到焊点大小适中、均匀、圆润、光亮、无虚焊的要求,通过简单电器的安装制作,熟悉电子仪器的安装制作过程和电路的调试及简单故障排除的技能。 四.电路设计方案 多功能数字钟原理框架如图所示,电路包括以下几个部分:标准秒信号发生器、显示电路、分秒计数器、校时电路。

相关文档
最新文档