数字电压表设计课程设计

数字电压表设计课程设计
数字电压表设计课程设计

东北石油大学课程设计

2

东北石油大学课程设计任务书

课程硬件课程设计

题目数字电压表设计

专业

主要内容、基本要求等

一、主要内容:

利用EL教学实验箱、微机和QuartusⅡ软件系统,使用VHDL语言输入方法设计数字钟。可以利用层次设计方法和VHDL语言,完成硬件设计设计和仿真。最后在EL教学实验箱中实现。

二、基本要求:

1、A/D转换接口电路的设计,负责对ADC0809的控制。

2、编码转换电路设计,负责把从ADC0809数据总线中读出的电压转换成BCD码。

3、输出七段显示电路的设计,负责将BCD码用7段显示器显示出来。

三、参考文献

[1] 潘松.EDA技术实用教程[M].北京:科学出版社, 2003.11-13.

[2] 包明.《EDA技术与数字系统设计》.北京航天航空大学出版社. 2002.

[3] EDA先锋工作室.Altera FPGA/CPLD设计[M].北京:人民邮电出版社

2005.32-33.

[4] 潘松.SOPC技术实用教程[M] .清华大学出版社.2005.1-15.

完成期限第18-19周

指导教师

专业负责人

摘要

本文介绍了基于EDA技术的8位数字电压表。系统采用CPLD为控制核心,采用VHDL语言实现,论述了基于VHDL语言和CPLD芯片的数字系统设计思想和实现过程。在硬件电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段,而VHDL语言则是EDA的关键技术之一,。VHDL的英文全名是

Very-High-Speed Integrated Circuit HardwareDescription Language,它采用自顶向下的设计方法,即从系统总体要求出发,自上至下地将设计任务分解为不同的功能模块,最后将各功能模块连接形成顶层模块,完成系统硬件的整体设计。

电子设计自动化技术EDA的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件(PLD)使得EDA技术的应用走向普及。CPLD是新型的可编程逻辑器件,采用CPLD进行产品开发可以灵活地进行模块配置,大大缩短了产品开发周期,也有利于产品向小型化,集成化的方向发展。而 VHDL语言是EDA的关键技术之一,它采用自顶向下的设计方法,完成系统的整体设计。

本文用CPLD芯片和VHDL语言设计了一个八位的数字电压表。它的计时周期为24小时,显示满刻度为23时59分59秒,另外还具有校时功能和闹钟功能。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括分频程序模块、时分秒计数和设置程序模块、比较器程序模块、三输入数据选择器程序模块、译码显示程序模块和拼接程序模块。

关键词:数字电压表;QuartusⅡ软件;EDA(电子设计自动化)

目录

第1章概述 (1)

1.1 EDA的概念 (1)

1.2 EDA技术及应用 (2)

1.3 EDA硬件工作平台 (2)

1.4 EDA的软件工作平台 (2)

第2章数字电压表的设计实现 (3)

2.1状态机 (3)

2.2状态机的设计 (3)

2.3 BCD码的转换 (5)

2.4七段电路显示 (7)

第3章数字电压表的测试与运行 (10)

3.1数字电压表的编译与仿真 (10)

3.2数字电压表的仿真与烧写 (12)

结论 (15)

参考文献 (16)

第1章概述

1.1 EDA的概念

EDA是电子设计自动化(Electronic Design Automation)的缩写。由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异,所以目前尚无一个确切的定义[1]。但从EDA技术的几个主要方面的内容来看,可以理解为:EDA 技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。可以实现逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化,逻辑布局布线、逻辑仿真[2]。

EDA技术是伴随着计算机、集成电路、电子系统的设计发展起来的,至今已有30多年的历程。大致可以分为三个发展阶段。20世纪70年代的CAD(计算机辅助设计)阶段:这一阶段的主要特征是利用计算机辅助进行电路原理图编辑,PCB不同布线,使得设计师从传统高度重复繁杂的绘图劳动中解脱出来。[3]20世纪80年代的QAE(计算机辅助工程设计)阶段:这一阶段的主要特征是以逻辑摸拟、定时分析、故障仿真、自动布局布线为核心,重点解决电路设计的功能检测等问题,使设计能在产品制作之前预知产品的功能与性能[4]。20世纪90年代是EDA(电子设计自动化)阶段:这一阶段的主要特征是以高级描述语言,系统级仿真和综合技术为特点,采用“自顶向下”的设计理念,将设计前期的许多高层次设计由EDA工具来完成。

EDA是电子技术设计自动化,也就是能够帮助人们设计电子电路或系统的软件工具。该工具可以在电子产品的各个设计阶段发挥作用,使设计更复杂的电路和系统成为可能。在原理图设计阶段,可以使用EDA中的仿真工具论证设计的正确性;在芯片设计阶段,可以使用EDA中的芯片设计工具设计制作芯片的版图;在电路板设计阶段,可以使用EDA中电路板设计工具设计多层电路板。特别是支持硬件描述语言的EDA工具的出现,使复杂数字系统设计自动化成为可能,只要用硬件描述语言将数字系统的行为描述正确,就可以进行该数字系统的芯片设计与制造[5]。21世纪将是EDA技术的高速发展期,EDA技术将是对21世纪产生重大影响的十大技术之一。

硬件描述语言:硬件描述语言(HDL)是一种用于进行电子系统硬件设计的计算机高级语言,它采用软件的设计方法来描述电子系统的逻辑功能、电路结构和连接形式。常用硬件描述语言有HDL、Verilog和VHDL语言。

1.2 EDA技术及应用

电子EDA技术发展迅猛,逐渐在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。在教学方面:几乎所有理工科(特别是电子信息)类的高校都开设了EDA课程。主要是让学生了解EDA的基本原理和基本概念、硬件描述系统逻辑的方法、使用EDA工具进行电子电路课程的模拟仿真实验并在作毕业设计时从事简单电子系统的设计,为今后工作打下基础。[6]具有代表性的是全国每两年举办一次的大学生电子设计竞赛活动。在科研方面:主要利用电路仿真工具进行电路设计与仿真;利用虚拟仪器进行产品调试;将FPGA器件的开发应用到仪器设备中[7]。在产品设计与制造方面:从高性能的微处理器、数字信号处理器一直到彩电、音响和电子玩具电路等,EDA技术不单是应用于前期的计算机模拟仿真、产品调试,而且也在后期的制作、电子设备的研制与生产、电路板的焊接、器件的制作过程等有重要作用[8]。可以说电子EDA技术已经成为电子工业领域不可缺少的技术支持。

1.3 EDA硬件工作平台

1.计算机

2.EDA实验开发系统:EL教学实验箱。

1.4 EDA的软件工作平台

PLD(Programmable Logic Device)是一种由用户根据需要而自行构造逻辑功能的数字集成电路。目前主要有两大类型:CPLD(Complex PLD)和FPGA(Field Programmable Gate Array)[9]。它们的基本设计方法是借助于EDA软件,用原理图、状态机、布尔表达式、硬件描述语言等方法,生成相应的目标文件,最后用编程器或下载电缆,由目标器件实现[10]。生产PLD的厂家很多,但最有代表性的PLD 厂家为Altera、Xilinx和Lattice公司。

第2章数字电压表的设计实现

2.1状态机

用状态机对ADC0809进行采样控制首先必须了解其工作时序, 然后据此作出状态图, 最后写出相应的VHDL 代码。ADC0809 是CMOS 的8 位A /D转换器, 片内有8路模拟开关, 可控制8个模拟量中的一个进入转换器中。ADC0809 的分辨率为8位, 转换时间约100μs, 输出由三态缓冲器控制, 单5 V 电源供电。如图2-1所示:

图2-1 ADC0809接口电路原理图

2.2状态机的设计

状态机就是控制ADC0809转换过程的控制器。根据ADC0809的工作时序,就可以设计出状态机。该组状态机由以下几个部分组成,其VHDL语言描述如下。

P1: process(present_state,next_state,INT)

begin

case present_state is

when idle => CS<='1'; WR<='1'; RD<='1';

next_state<=write;

when write => CS<='1'; WR<='0'; RD<='1';

next_state<=swait;

when swait => CS<='1'; WR<='1'; RD<='1';

if ( INT='0') then

next_state<=read;

else

next_state<=swait;

end if;

when read => CS<='1'; WR<='1'; RD<='0';

next_state<=disp1;

when disp1 => CS<='1'; WR<='1'; RD<='1';

DATOUT<=dout1;

next_state<=disp2;

when disp2 => CS<='1'; WR<='1'; RD<='1';

DATOUT<=dout2;

next_state<=disp3;

when disp3 => CS<='1'; WR<='1'; RD<='1';

DATOUT<=dout3;

next_state<=disp4;

when disp4 => CS<='1'; WR<='1'; RD<='1';

DATOUT<=dout4;

next_state<=write;

end case;

end process P1;

当CS<='1'; WR<='0'; RD<='0'时,ADC0809被设为空位,由控制器发出信号要求ADC0809开始进行模/数信号的转换。当CS<='1'; WR<='1'; RD<='0'时,往ADC0809里写数据ADC0809进行转换动作,转换完毕后INT将低电位。当CS<='0'; WR<='0'; RD<='0'时,如果此时INT=1,说明转换结束,由控制器发出信号以读取ADC0809的转换资料,如果此时INT=0,说明转换没结束,继续转换。当CS<='1'; WR<='0'; RD<='1'时,由控制器读取数据总线上的数字转换资料。当接下来的几个状态(disp1,disp2,disp3,disp4)是控制输出的。

begin

if(RESET='0') then

present_state<=idle;

datain<="00000000";

elsif(CLK'event and CLK='1') then

present_state<=next_state;

if(present_state=read) then

datain<=D;

end if;

end if;

end process P2;

进程2是时序进程,当RESET='0'时, datain<="00000000"(数据信号转化为模拟信号)当时钟上升沿一来时,如果此时present_state=read,说明转换结束,datain<=D(数据信号转化为模拟信号)。

2.3 BCD码的转换

其中表2-1为把数据转化为BCD码,模拟输入电压与输出电压的对应关系。

这样由ADC0809收到的信号是01110110(76H),则对照表时,高4位0111的电压为 2.24V,而低4位0110是0.12V,所以最后的电压输出结果为2.24+0.12=2.36V。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY BCD IS

PORT (V:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

HB,LB:BUFFER STD_LOGIC_VECTOR(11 DOWNTO 0);

BVALUE:BUFFER STD_LOGIC_VECTOR(11 DOWNTO 0);

BCD_L,BCD_M,BCD_H:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END BCD;

ARCHITECTURE A OF BCD IS

BEGIN

P1:PROCESS(V(7 DOWNTO 4))

BEGIN --A/D输出高4位转换分辨率0.32V

IF V(7 DOWNTO 4)="1111" THEN HB<="010*********"; --4.80V

ELSIF V(7 DOWNTO 4)= "1110" THEN HB<="010*********";--4.48V

ELSIF V(7 DOWNTO 4)= "1101" THEN HB<="010*********";--4.16V

ELSIF V(7 DOWNTO 4)= "1100" THEN HB<="001110000100";--3.84V

ELSIF V(7 DOWNTO 4)= "1011" THEN HB<="001101010010";--3.52V ELSIF V(7 DOWNTO 4)= "1010" THEN HB<="001100100000";--3.20V

ELSIF V(7 DOWNTO 4)= "1001" THEN HB<="001010001000";--2.88V

ELSIF V(7 DOWNTO 4)= "1000" THEN HB<="001001010110";--2.56V

ELSIF V(7 DOWNTO 4)= "0111" THEN HB<="001000100100";--2.24V

ELSIF V(7 DOWNTO 4)= "0110" THEN HB<="000110010010";--1.92V

ELSIF V(7 DOWNTO 4)= "0101" THEN HB<="000101100000";--1.60V

ELSIF V(7 DOWNTO 4)= "0100" THEN HB<="000100101000";--1.28V ELSIF V(7 DOWNTO 4)= "0011" THEN HB<="000010010110";--0.96V

ELSIF V(7 DOWNTO 4)= "0010" THEN HB<="000001100100";--0.64V ELSIF V(7 DOWNTO 4)= "0001" THEN HB<="000000110010";--0.32V

ELSIF V(7 DOWNTO 4)= "0000" THEN HB<="000000000000";--0.00V ELSE HB<="000000000000"; --0.00V

END IF;

END PROCESS P1;

P2:PROCESS(V(3 DOWNTO 0))

BEGIN --A/D输出低4位转换分辨率0.02V

IF V(3 DOWNTO 0)= "1111" THEN LB<="000000110000"; --0.30V ELSIF V(3 DOWNTO 0)= "1110" THEN LB<="000000101000";--0.28V

ELSIF V(3DOWNTO0)="1101" THEN LB<="000000100110";--0.26V

ELSIF V(3DOWNTO0)="1100" THEN LB<="000000100100";--0.24V

ELSIF V(3DOWNTO0)="1011" THEN LB<="000000100010";--0.22V

ELSIF V(3DOWNTO0)="1010" THEN LB<="000000100000";--0.20V

ELSIF V(3DOWNTO0)="1001" THEN LB<="000000011000";--0.18V

ELSIF V(3DOWNTO0)="1000" THEN LB<="000000010110";--0.16V

ELSIF V(3DOWNTO0)="0111" THEN LB<="000000010100";--0.14V

ELSIF V(3DOWNTO0)="0110" THEN LB<="000000010010";--0.12V

ELSIF V(3DOWNTO0)="0101" THEN LB<="000000010000";--0.10V

ELSIF V(3DOWNTO0)="0100" THEN LB<="000000001000";--0.08V ELSIF V(3DOWNTO0)="0011" THEN LB<="000000000110";--0.06V

ELSIF V(3DOWNTO0)="0010" THEN LB<="000000000100";--0.04V

ELSIF V(3DOWNTO0)="0001" THEN LB<="000000000010";--0.02V

ELSIF V(3DOWNTO0)="0000" THEN LB<="000000000000";--0.00V ELSE LB<="000000000000"; --0V

END IF;

END PROCESS P2;

BVALUE<=HB+LB;

P3:PROCESS(BVALUE)

VARIABLE JJ:STD_LOGIC_VECTOR(11 DOWNTO 0);

BEGIN

JJ:=BVALUE;

IF (JJ(3 DOWNTO 0)>"1001") THEN ――如果12位结果中,低4位

JJ:=JJ+"000000000110"; ――大于9 则低4位加6

END IF;

IF(JJ(7 DOWNTO 4)>"1001") THEN ――如果中间的4位大于9

JJ:=JJ+"000001100000"; ――则中4位加6

END IF;

BCD_L<=JJ(3 DOWNTO 0);

BCD_M<=JJ(7 DOWNTO 4);

BCD_H<=JJ(11 DOWNTO 8);

END PROCESS P3;

END A;

2.4七段电路显示

LIBRARY ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity mux3_1 is

port(sel:in std_logic_vector(1 downto 0);

A,B,C:in std_logic_vector(3 downto 0);

Mselout:out std_logic_vector(3 downto 0));

end mux3_1;

architecture a of mux3_1 is

begin

process(sel)

begin

if sel="10" then Mselout<=A;

elsif sel="01" then Mselout<=B;

elsif sel="00" then Mselout<=C;

else null;

end if;

end process;

end a;

――位选信号产生器(3进制计数器)

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity c3 is

port(clk,clr:in std_logic;

qout:buffer std_logic_vector(1 downto 0)

);

end c3;

architecture behave of c3 is

begin

process(clk,clr)

begin

if(clr='0')then qout<="00";

elsif(clk'event and clk='1')then

qout<=qout+1;

if(qout=2)then qout<="00";

end if;

end if;

end process;

end behave;

LIBRARY ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_unsigned.all;

ENTITY del7 IS

PORT

( input : IN STD_LOGIC_vector(3 downto 0);

output : OUT STD_LOGIC_vector(6 downto 0) );

END del7;

ARCHITECTURE a OF del7 IS

BEGIN

PROCESS (input)

BEGIN

CASE input IS

WHEN "0000" =>output<="1111110";

WHEN "0001" =>output<="0110000";

WHEN "0010" =>output<="1101101";

WHEN "0011" =>output<="1111001";

WHEN "0100" =>output<="0110011";

WHEN "0101" =>output<="1011011";

WHEN "0110" =>output<="1011111";

WHEN "0111" =>output<="1110000";

WHEN "1000" =>output<="1111111";

WHEN "1001" =>output<="1111011";

WHEN OTHERS=>NULL;

END CASE;

end process;

END a;

――小数点产生器

LIBRARY ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity DP is

port(SELDP:in std_logic_vector(1 downto 0);

DPout:out std_logic);

end DP;

architecture a of DP is

begin

process(selDP)

begin

if selDP="10" then DPout<='0';

elsif selDP="01" then DPout<='0';

elsif sel DP="00" then DPout<='1'; ――在高4位整数输出时,输出

else null; ――小数点DP

end if;

end process;

end a;

第3章数字电压表的测试与运行

3.1数字电压表的编译与仿真

3.1.1数字电压表的编译

在【Processing】菜单下,点击【Start Compilation】命令,或直接点击常用工具栏上

的按钮,开始编译我们的项目。编译成功后,点击确定按钮。

数字电压表的编译如图3-1所示:

图3-1数字电压表的编译

3.1.2数字电压表的逻辑电路图

数字电压表的逻辑电路如图3-2所示:

图3-2数字电压表的逻辑电路

3.1.3数字电压表的功能仿真图

在【File】菜单下,点击【New】命令。在随后弹出的对话框中,切换到【Other Files】页。选中【Vector Waveform File】选项,点击 OK 按钮。随后把clk 的周期设置为300.0ns,D的周期设置为20.0,再把INT的周期设置为30.0,最后再把RESET的置为高电平

数字电压表的功能仿真如图3-3所示:

图3-3数字电压表的功能仿真3.2数字电压表的仿真与烧写

3.2.1 引脚适配

图 3-4引脚配置

配置好引脚后,在编译一次,然后开始下载。

图3-5数字电压表下载图3.2.2实验连接

实验连接如图3-6所示:

图3-6实验连线图实验结果,可以改变电阻的值来改变电压。

图3-7数字电压表实验结果图

在此实验中10K10上时钟CLK0(1)接305.2Hz,RESET(83)接K1,CS(5)、RD(6)、WR(7)分别接0809的控制输入端,INT(8)接0809的INTD[7-0](16-23)接0809的D0-D7(注意0809数据线标识反),DATDOUT(73-60)接a-dp,SLE3-SLE0; 0809的A0、A1、A2接d0-d2(置低),VREF+接VCC,VREF-接GND,CLK接MCU的ALE,IN0接模拟输出OUT。时钟CLK接时钟模块输出,使频率为4~5MH

左右;INT接

Z

ADC0809中断输出INT;D[7..0]接ADC0809数据输出D[7..0];CS、RD、WR分别接ADC0809的控制输入CS、RD、WR;BCDOUT接12个发光二极管。

结论

通过对FPGA芯片进行VHDL语言编程,我已经基本了解QuartusⅡ软件的使用, 并通过学习实现了数字电压表的功能。这两周的学习让我感受到了学习过程中的开心与喜悦. 并且通过多次的认证,确认数字电压表实验结果与理论相一致,实验的设计和结果均为正确。

经过这次的学习我发现, VHDL具有很强的电路描述和建模能力, 能从多个层次对数字系统进行建模和描述, 从而大大简化了硬件设计任务, 提高了设计效率和可靠性。这种设计方法必将在未来的数字系统设计中发挥越来越重要的作用。

参考文献

[1] 潘松.EDA技术实用教程[M].北京:科学出版社, 2003,11-13.

[2] 包明.《EDA技术与数字系统设计》[M].北京:航天航空大学出版2002.10-18.

[3] EDA先锋工作室.Altera FPGA/CPLD设计[M].北京:人民邮电出2005.32-33.

[4] 潘松.SOPC技术实用教程[M] .清华大学出版社.2005.1-15.

[5] 杨恒.FPGA/CPLD最新实用技术指南[M].北京:清华大学出版社2005.20-22.

[6] EDA先锋工作室.Altera FPGA/CPLD设计 [M].北京:人民邮电出2005.32-33.

[7]宋嘉玉、孙丽霞.EDA实用技术[M].北京:人民邮电出版社,2006.12-20.

[8]张彬宏.EDA应用技术[M].北京:北京理工大学出版社,2007.7-06.

[9]汉泽西.EDA技术及应用[M].北京:北京航空航天大学出版社,2004.5-22.

[10]谭会生.EDA技术基础[M].长沙:湖南大学出版社,2004.8-23.

八路抢答器课程设计

课程设计报告 课程名称:电子技术课程设计 设计题目:八路抢答器 专业: 班级:学号: 学生姓名: 时间: 2014年 10 月 27 日~ 11 月 12 日 ―――――――以下指导教师填写――――― 分项成绩:出勤成品答辩及考核 总成绩:总分成绩 指导教师:

介绍了数码显示八路抢答器电路的组成、设计及功能,电路采用74系列常用集成电路进行设计。该抢答器除具有基本的抢答功能外,还具有定时、计时和报警功能。主持人通过时间预设开关预设供抢答的时间,系统将完成自动倒计时。若在规定的时间内有人抢答,则计时将自动停止;若在规定的时间内无人抢答,则系统中的蜂鸣器将发响,提示主持人本轮抢答无效,实现报警功能,若超过抢答时间则抢答无效。 该抢答器主要运用到了编码器,译码器和锁存器:它采用74LS148来实现抢答器的选号,采用74LS279芯片实现对号码的锁存,采用74LS192实现十进制的减法计数,采用555芯片产生秒脉冲信号来共同实现倒计时功能,采用74LS121单稳态芯片来实现报警信号的输出。 通过课程设计提高和巩固了所学的专业知识,以及知识的综合应用和焊接技术。 关键词: 抢答器编码译码定时报警

进入21世纪越来越来多的电子产品出现在人们的日常生活中,例如企业、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。过去在举行的各种竞赛中我们经常看到有抢答的环节,举办方多数采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。人们于是开始寻求一种能不依人的主观意愿来判断的设备来规范比赛。因此,为了克服这种现象的惯性发生人们利用各种资源和条件设计出很多的抢答器,从最初的简单抢答按钮,到后来的显示选手号的抢答器,再到现在的数显抢答器,其功能在一天的趋于完善不但可以用来倒计时抢答,还兼具报警,计分显示等等功能,有了这些更准确地仪器使得我们的竞赛变得更加精彩纷呈,也使比赛更突显其公平公正的原则。 今天随着科技的不断进步抢答器的制作也更加追求精益求精,人们摆脱了耗费很多元件仅来实现用指示灯和一些电路来实现简单的抢答功能,使第一个抢答的参赛者的编号能通过指示灯显示出来,避免不合理的现象发生。但这种电路不易于扩展,而且当有更高要求是酒无法实现,例如参赛人数的增加。随着数字电路的发展,数字抢答器诞生了,它易于扩展,可靠性好,集成度高,而且费用低,功能更加多样话,是一种高效能的产品。而如今在市场上销售的抢答器大多采用可编程逻辑元器件,或利用单片机技术进行设计,本次设计主要利用常见的74LS系列集成电路芯片和555芯片,并通过划分功能模块进行各个部分的设计,最后完成了八路智力竞赛抢答器的设计。

八路抢答器课程设计

电子技术 课程设计 题目:八路抢答器的设计 学院(系): 专业班级:电子132 学生姓名:学生学号:13446413 指导教师: 设计时间:2015年6 月22日 2015年7月15日

电子技术课程设计任务书2 学院电子(怀)132 班同学:

计算机教研室指导教师_

目录 摘要-------------------------------------------------------------------------------------1 1八路抢答器-----------------------------------------------------------------------------------------2 1.1前言------------------------------------------------------------------------------------------------2 1.2八路抢答器功能-----------------------------------------2 2系统的组成及工作原理--------------------------------------2 2.1系统组成框图--------------------------------------------------------------------------------- 3 2.2系统的工作原理------------------------------------------------------------------------------ 3 3电路设计--------------------------------------------------- 4 3.1方案的选择------------------------------------------------------------------------------------ 4 3.1.1方案一---------------------------------------------------------------------------------------4 3.1.2方案二---------------------------------------------------------------------------------------5 3.1.3方案的选择---------------------------------------------------------------------------------5 3.2单元电路的设计------------------------------------------------------------------------------ 5 3.2.1抢答电路的设计---------------------------------------------------------------------------5 3.2.2定时电路的设计-------------------------------------------------------------------------11 3.2.3触发器电路的设计----------------------------------------------------------------------13 3.2.4多谐振荡器电路的设计----------------------------------------------------------------15 3.2.5秒脉冲产生电路的设计----------------------------------------------------------------16 4性能的测试------------------------------------------------17 5体会与总结------------------------------------------------------------------------------------- 18 参考文献-------------------------------------------------------------------------------------------18 附录:元器件列表----------------------------------------------------------------------------- 19

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

数字电路课程设计报告(好)

天津职业技术师范大学电子工程学院电子技术之---万用表课程设计报告 同组学生姓名(学号):寡人猪八戒 任务分工::查阅资料设计电路并进行电脑仿真, 焊接电路并参与电路的安装与调试 负责撰写课程设计及实验总结的工作 设计时间:2012年04月28日——2012 年05月04日 指导教师: 一、课程设计的目的与要求(含设计指标) 1、设计目的 (1)了解ICL7107芯片工作原理及应用。 (2)能够使用电路仿真软件进行电路调试。 (3)掌握电子系统的一般设计方法。 (4)培养综合应用所学知识来指导实践的能力。 (5)掌握常用元器件的识别和测试。 (6)熟悉常用仪表,了解电路调试的基本方法。 2、设计要求 (1)提出具体方案 (2)给出所设计电路的原理图。 (3)进行电路仿真设计 (4)设计电路所需的直流电源。 (5)用分立元件和运放设计的万用表电路要求先用multisim进行电路仿真分析,仿真结果正确后,在进行安装调试。 3、设计指标 直流电压表:满量程+6V; 直流电流表:满量程10mA; 交流电压表:满量程6V,50HZ~1KHZ; 交流电流表:满量程10mA;

欧姆表:满量程分别为1KΩ,10KΩ,100KΩ 三、方案论证及选择 设计万用电表,总体来说有两种设计方案,来源于万用电表的指针式和数字式的两种类型。指针式万用电表,需有表头,同时主要用HA17741,LM324N等芯片,借助集成运放实现万用电表。但是考虑到表头的应用,还有本课题其他组同学采用这个方案,我们则采用了另一种方案,设计数字式万用电表。主要运用ICL7107来实现,ICL7107是高性能、低功耗的三位半A/D转换器电路。它包含七段译码器、显示驱动器、参考源和时钟系统,ICL7107可直接驱动发光二极管。所以,用此芯片可以直接把模拟信号转换成数字信号,然后通过数码管显示出来。在数据采集时,采用分开设计,直流电压测量电路,直流电流测量电路,欧姆表测量电路,交流电流、电流测量电路。 三、原理设计(或基本原理) (1)基本原理:ICL7107是高性能、低功耗的三位半A/D转换器电路。它包含七段译码器、显示驱动器、参考源和时钟系统,ICL7107可直接驱动发光二极管。ICL7107 是双积型的A/D 转换器,还集成了A/D 转换器的模拟部分电路,如缓冲器、积分器、电压比较器、正负电压参考源和模拟开关,以及数字电路部分如振荡源、计数器、锁存器、译码器、驱动器和控制逻辑电路等,使用时只需外接少量的电阻、电容元件和显示器件,就可以完成模拟到数字量的转换,从而满足设计要求。

利用74LS175制作的八路抢答器资料

利用74L S175制作的八路抢答器

电子课程设计报告题目名称:八路抢答器设计 姓名: 专业: 班级学号: 同组人: 指导教师: 南昌航空大学计算机学院 2008年 06 月 26 日仅供学习与交流,如有侵权请联系网站删除谢谢2

摘要 在市场上可能有很多的八路数显智力竞赛抢答器,但是本论文将提供一种新的八路数显智力竞赛抢答器设计方案,设置复位标志位便于区分不同原因引发的复位,作为一种新技术被越来越多的新型单片机所采纳。但本论文中的八路数显智力竞赛抢答器只是利用到最基本的复位方式。 经过考虑我们选择了74LS175芯片做八路抢答器。它的俗名是4D触发器。选择它是因为它具有D触发器的性质,有存储功能。本设计主要考虑了该芯片经CP脉冲,在不同的情况下对它有维持阻塞作用。在设计方案中。要设计八路抢答器,我们就选择了两个784LS175的芯片,因为每个芯片有四路。本抢答器拥有复位清零作用。并且有数码管显示选手的号码。方便。 关键字:I.抢答器…II.维持阻塞…III.存储功能,IV优先编码。 仅供学习与交流,如有侵权请联系网站删除谢谢3

目录 摘要.................................................... (2) . 前言…………………………………………………………………….... .4 第一章抢答器的概述 (5) 1.1 设计要求 (5) 1.2抢答器的用途及要求 (5) 第二章电路设计原理及单元模块 (5) 2.1 74LS175的功能表内部结构及管脚图 (5) 2.2完成抢答器的置位及指示电路及其原理 (7) 2.3阻塞电路及其原理 (9) 2.4时钟脉冲的控制及其原 理 (11) 2.5电路设计总原理图 (12) 第三章安装与调试 (13) 3.1电路的安装调试 (13) 3.2电路的测试 (13) 第四章实验结论 (14) 参考文献 (15) 附录………………………………………………………………….…. .15 仅供学习与交流,如有侵权请联系网站删除谢谢4

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

数字电子技术基础课程设计DT-830B数字万用表报告

数字电子技术基础课程设计DT-830B数字万用表报告

三亚学院 2011~2012学年第2学期 数字电子技术基础课程设计报告 学院: 理工学院 专业: 测控技术与仪器 班级: 学号: 学生姓名: 指导教师: 2012年9月7日

目录 一、设计任务与要求……………………………………… 二、电路原理……………………………………………… 三、总原理图及元器件清单……………………………… 四、装配过程……………………………………………… 五、电路功能测试………………………………………… 六、结论与心得……………………………………………

DT-830B数字万用表的组装与调试 一、设计任务与要求 1、设计要求: 学习了解DT830B数字万用表,熟悉它的工作原理。然后安装并调试数字万用表。通过对DT830B数字万用表的安装与调试实训,了 解数字万用表的特点,熟悉装配数字万用表的基本工艺过程、掌握基本 的装配技艺、学习整机的装配工艺、培养自身的动手能力以及培养严谨 的学习工作作风。 DT830B由机壳熟料件(包括上下盖和旋钮)、印制板部件(包括插口)、液晶屏及表笔等组成,组装成功关键是装配印制板部件。因为 一旦被划伤或有污迹,将对整机的性能产生很大的影响。整机安装的流 程图如下所示: 3)认识DT830B数字万用表的液晶显示器件、印制板部件等。 4)安装制作一台DT830B数字万用表。 5)根据技术指标测试DT830B数字万用表的主要参数 6)校验数字式万用表,减小其误差。

二、电路原理 DT830B电路原理它是3位半数字万用表。 数字万用表的核心是以ICL7106A/D转化器为核心的数字万用表。A/D转化器将0~2V范围的模拟电压变成三位半的BCD码数字显示出来。将被测直流电压、交流电压、直流电流及电阻的物理量变成0~2V的直流电压,送到ICL7106的输入端,即可在数字表上进行检测。 为检测大于2V的直流电压,在输入端引入衰减器,将信号变为0~2V,检测显示时再放大同样的倍数。 检测直流电流,首先必须将被测电流变成0~2V的直流电压即实现衰减与I/V 变换。衰减是有精密电阻构成的具有不同分流系数的分流器完成。 电阻的检测是利用电流源在电阻上产生压降。因为被测电阻上通过的电流是恒定的,所以在被测电阻上产生的压降与其阻值成正比,然后将得到的电压信号送到A/D转换器进行检测。 三、总原理图及元器件清单

八路抢答器设计(附源程序)

烟台大学单片机课程设计说明书课题:八路抢答器 学生姓名: 学号: 院系:机电汽车工程学院 专业:机械设计制造及其自动化 指导老师: 同组成员: 组长: 2012 年06 月07 日 目录

1 概述 (2) 2设计任务 (2) 3 系统总体方案 (3) 4 硬件设计 (4) 控制系统所需硬件 (4) 硬件原理介绍 (4) 5 软件设计 (7) 软件总体设计 (7) 程序流程图 (8) 6 Proteus软件仿真 (12) Keil软件 (12) 在Proteus软件 (12) 7小结 (14) 8心得体会 (15) 附1:源程序代码 (16) 附2:参考文献 (24) 1 .概述

8路智能抢答器的设计 现如今,各种智力知识竞赛已经成为人们的一种娱乐形式,人们在答题的过程中不仅可以享受到乐趣,还可以学到一些科学知识和生活常识。然而在抢答过程中,单靠视觉是很难判断出哪组最先完成抢答操作。为了辨别哪一组或哪一位选手获得答题权,必须要设计一个智能抢答控制系统——智能抢答器。 抢答器作为一种电子产品,已被人们所熟知并广泛应用于各种智力知识竞赛场合。抢答器在竞赛中有很大用处,通过抢答器的指示灯显示,数码管显示和警示蜂鸣等手段,能准确,公正,直观地判断出第1抢答者并协助比赛的顺利进行。但是,目前使用的抢答器大多数都采用了逻辑电路进行设计,分立元件较多,造成抢答器的成本较高。此外一般抢答器由模拟电路,数字电路或二者结合组成,其智能化程度低,故障率高,显示简单。现代电子技术的发展要求电子电路朝数字化,集成化方向发展,因此设计出全集成电路的多路抢答器是现代电子技术发展的要求。 2 .设计任务 本设计要求学生结合现有的实际条件,以单片机为控制核心,设计一个8路智能抢答器。要求实现的功能如下: 1) 抢答器可同时供8名选手或8个代表队比赛,分别用8个按键S1~S8进行抢答。 2) 主持人可以通过智能抢答器的按键设定每道题的抢答时间和回答时间。 3) 具有清零和非法抢答控制功能,并由主持人操纵,避免选手在主持人说“开始”前提前抢答,违反规则。 4) 当主持人启动“开始抢答键”后,定时器进行减计时,在10s内无人抢答表示所有参赛选手或参赛队对本题弃权,抢答时间耗尽后禁止抢答。 5) 倒计时5s时,如果仍无人抢答,则系统每1s报警一次,用以提示参赛选手。 6) 抢答器具有锁存与显示功能。即选手按下按键,锁存相应选手的参赛号码,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,其他按键者将不能响应,以便公平地选择第一个抢答者。 7) 参赛选手在设定的时间内进行抢答,抢答有效,显示器上显示选手的编号同时进入回答问题的30s倒计时。 8) 倒计时期间,如果主持人想终止倒计时,可以按下“停止”按键,系统

多路抢答器课程设计报告详解

课程设计说明书 题目:多路抢答器设计 二级学院机械工程学院 年级专业14级机械设计制造及其自动化学号1401210012 学生姓名曾骏 指导教师洪云 教师职称讲师

目录 摘要 (1) 一、绪论 (1) 1、单片机抢答器的背景 (1) 2、单片机的应用 (2) 3、抢答器的应用 (3) 二、方案设计 (4) 1、总方案设计 (4) 2、基本功能 (4) 3、扩展功能 (5) 三、硬件电路设计 (6) 1、单片机的选择 (6) 2、各模块设计 (7) 2.1、单片机最小系统 (7) 2.2、抢答按键电路 (8) 2.3、显示器电路 (8) 2.4、蜂鸣器音频输出电路 (9) 四、软件设计 (10) 1、程序设计 (10) 2、主程序设计 (11) 五、心得体会 (12) 附录 1.程序清单 (13) 2.硬件图 (23) 六、参考文献 (24)

摘要 此次设计使用AT89C51单片机为核心控制元件,设计一个简易的抢答器,与数码管、报警器等构成八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路、定时/中断电路等。设计的抢答器具有实时显示抢答选手的号码和抢答时间的特点,而复位电路,则使其能再开始新的一轮答题和比赛,与此同时还利用汇编语言编程,使其能够实现一些基本的功能。 本次设计系统实用性强、判断精确、操作简单、扩展功能强等。它的功能实现是比赛开始,主持人读完题之后按下总开关,则计时开始,此时数码管开始进行1s的减计时,直到有一个选手按下抢答按钮,这时对应的数码管上会显示出该选手的编号和抢答所用的时间,同时该选手的报警器也会发出声音,来提示有人抢答本题。如果在规定的30s时间内没有选手做出抢答,则此题作废,即开始重新一轮的抢答。 关键词:单片机、抢答器、数码管、报警器 一、绪论 1、单片机抢答器的背景 二十世纪跨越了三个“电”的时代,即电气时代、电子时代和现已进入的电脑时代。这种电脑,通常是指个人计算机,简称PC机。它由主机、键盘、显示器等组成。还有一类计算机,大多数人却不怎么熟悉。这种计算机就是把智能赋予各种机械的单片机(亦称微控制器)。单片机的最小系统只用了一片集成电路,即可进行简单运算和控制。因为它体积小,通常都藏在被控机械的“肚子”里。它在整个装置中,起着有如人脑的作用,要是它出了毛病,那么整个装置就将瘫痪。现在,这种单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词--“智能型”。如智能型洗衣机等。现在有些工厂的技术人员或其它业余电子开发者搞出来的某些产品,不是电路太复杂,就是功能太简单且极易被仿制。究其原因,可能就卡在产品未使用单片机或其它可编程逻辑器件上。

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数字电路课程设计报告

课程设计任务书 学生姓名:吴培力专业班级:信息SY1201 指导教师:刘可文工作单位:信息工程学院 题目: 数字式电子锁的设计与实现 初始条件: 本设计既可以使用集成电路和必要的元器件等,也可以使用单 片机系统构建数字密码电子锁。自行设计所需工作电源。电路组成 原理框图如图1,数字密码锁的实际锁体一般由电磁线圈、锁栓、 弹簧和锁柜构成。当线圈有电流时,产生磁力,吸动锁栓,即可开 锁。反之则不开锁。 图1 数字式电子锁原理框图要求完成的主要任务:(包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)课程设计中,锁体用LED代替(如“绿灯亮”表示开锁,“红灯亮”表示闭锁)。 2)其密码为4位二进制代码,密码可以通过密码设定电路自行设定。 3)开锁指令为串行输入码,当开锁密码与存储密码一致时,锁被打开。当开锁密码与存储密码不一致时,可重复进行,若连续三次未将锁打开,电路则报警并实现自锁。(报警动作为响1分钟,停10秒) 4)选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。安装调试设计电路。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、年月日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、年月日至年月日,方案选择和电路设计。 3、年月日至年月日,电路调试和设计说明书撰写。 4、年月日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

数字八路抢答器课程设计报告

梧州学院 课程设计论文(2013-2014学年下学期) 课程名称数字电路 论文题目八路数字智力抢答器 系别信息与电子工程学院 专业电子信息工程 班级电本一班 学号000000000000 学生XX 聪明的小强 指导教师xxx 完成时间2015 年7 月

抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发, 用数字、模拟电子器件设计具有扩充功能的抢答器。该设计数字抢答器就是利用数字电子技术实现的。主要为了实现抢答、定时、显示、报警功能。其电路由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。当有选手按下按钮时,优先锁存,其他选手在按时无响应,直到主持人按下清零按钮之后,才能开始下一轮的抢答。比较实用。 该数字抢答器可以广泛应用于各种竞赛,确保竞赛的准确,公平。该数字抢答器虽然可以用单片机程序来完成,但繁琐和代价高。而用集成电路设计制作抢答器:方便,价格便宜,还易于扩展。 关键字:编码,译码,555定时器,抢答器

第一章引言 (1) 1.1 设计要求 (1) 1.2 系统概述 (1) 第二章设计方案分析与论证 (3) 2.1 设计方案分析 (3) 2.2 方案的论证与选择 (3) 第三章单元电路设计 (5) 3.1 抢答电路设计 (5) 3.2 定时电路设计 (7) 3.1 时序控制电路设计 ................................................................ (13) 第四章智能抢答器实物制作 (15) 4.1 原理图设计和PCB设计 (15) 4.2 实物焊接及调试 (16) 4.2 实物展示 (17) 第五章测试结果分析与设计体会 (20) 5.1 测试结果与分析 (20) 5.2 设计体会与实验总结 (20) 参考文献 (21) 附录元器件清单 (22)

八路抢答器课程设计报告

目录 一、摘要 (1) 二、设计目的 (1) 三、设计任务及要求 (1) 1.设计要求 (1) 2.设计任务 (2) 四、八路抢答器电路的设计及原理 (2) 1.设计思路 (2) 2.总电路框图 (3) 3.各模块设计方案及原理说明 (3) 3.1抢答电路 (3) 3.230秒倒计时电路 (10) 3.3报警电路 (17) 五、抢答器的总电路 (23) 六、设计心得 (24) 附录 附录1 元件明细表 (26) 附录2 元件报价表 (27) 参考文献 (28) 完整电路示图 (29)

8路抢答器 一、摘要 进入21世纪越来越来多的电子产品出现在人们的日常生活中。例如企业、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用Multisim10完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。 二、设计目的 本电子设计,主要为了实现以下目的: 1.增强对数字电子技术的了解与掌握; 2.学习相关软件的使用方法; 3.熟悉优先编码器、触发器、计数器、译码电路等的应用方法; 4.熟悉时序电路的设计方法; 5.具备简单电路的设计能力。 三、设计的任务及要求 1.设计一抢答器,设计要求如下: 1)设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参赛,他们的选号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号对应分别是S0、S1、S2、S3、S4、S5、S6、S7。 2)给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答器的开始。 3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,其对应的灯被

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

数字电路课程设计报告

数字电路课程设计报告 导语:真正的知识来自内心,而不是得自别人的传授。同时,唯有出自内心的知识,才能使人拥有真正的智慧。以下小编为大家介绍数字电路课程设计报告文章,欢迎大家阅读参考! 数字电路课程设计报告设计题目:数字电子钟逻辑电路专业班级:自动化112班学生姓名: xx 学号:xx指导教师: xx 设计时间: xx 教师评语:成绩评阅教师日期 所谓数字钟,是指利用电子电路构成的计时器。相对机械钟而言,数字钟能实现准确计时,并显示时、分、秒,而且可以方便准确的对时间进行调节。在此基础上,还可以实现整点报时的功能。因此,数字钟的应用十分广泛。我们要通过这次的课程设计掌握数字钟的原理,学会设计简单的数字钟。 用中小规模集成电路设计一台能显示时、分、秒24时制地的数字电子钟,具体要求如下: 时为00-23二十四进制计数器;秒、分为00-59六十进制计数器; 整点报时,整点报时电路要求在每个整点前鸣叫五次低音,整点时再鸣叫一次高音。

数字电子钟所采用的是十六进制计数器74LS161和十进制计数器74SL160,根据时分秒各个部分的的不同功能,设计成不同进制。秒的个位,需要10进制计数器,十位需6进制计数器。秒部分设计与分钟的设计完全相同;时部分的设计为当时钟计数到24时,使计数器的小时部分清零,从而实现整体循环计时的功能。 (1)数字电子技术实验系统箱, (2)直流稳压电源, (3)集成芯片:74LS161 2个、74LS160 4个、 74LS00 2个、 74LS20 1个。 (4)喇叭,1/4W、8Ω。 计数部分:利用74LS161芯片,74LS160芯片和74LS00芯片组成的计数器,它们采用异步连接,利用外接标准1Hz 脉冲信号进行计数。 1. 显示部分:将两片74LS161芯片和四片74LS60的Q0Q1Q2Q3脚分别接到实验箱上的数码显示管上,根据脉冲的个数显示时间。 (一)设计步骤及方法 所有74LS161芯片和74LS160的16脚接5V电源(置为1),3脚、4脚、5脚、6脚和8脚接地。74LS00芯片的14脚接5V电源,7接地。 1. 秒设计 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器74LS160和与非门74LS00在面包板上设计10进制计数器显示秒的个位。

课程设计 八路抢答器的设计

电子技术课程设计2007-2008-1 系别 班级 姓名 学号

一、题目 八路抢答器:设置8个抢答按钮,另设置1个主持人按钮来清零。主持人清零后,首先抢答人的号码显示出来并保持,直到主持人再次清零,可设置定时抢答,超出规定时间为无效抢答,只要有人抢答,就发出声、光指示。 二、主要技术指标 该抢答器具有倒计时、抢答、报警的功能。 三、方案论证及选择 (一)、设计要求 1、智力竞赛抢答器可同时功8名选手或8个代表队参加比赛他们的编号分别是0、1、 2、 3、 4、 5、 6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7; 2、给节目支持人设置一个控制开关,用来控制系统的清零和抢答的开始; 3、抢答器具有数据锁存和显示功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示,此外,要锁存输入电路,禁止其他选手抢答,优先抢答的选手编号一直保持到主持人将系统清零为止; 4、抢答器具有定时抢答的功能,且一次抢答的时间可由主持人设定,当节目主持人启动“开始”键后,要求定时器立即减计时,

并用显示器显示,同时扬声器发出短暂的声响,声响持续时间 0.5s左右; 5、参赛选手再设定的时间内抢答有效,定时器停止工作,显示 器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零; 6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无 效,系统短暂报警,并封锁输入电路,禁止选手超时抢答,时间显示器上显示00。 (二)、电路设计 根据设计要求,可以把电路分为三块:定时电路、抢答电路和报警电路。 1、设计要点 定时抢答器的总体框图如图a所示,其工作过程是:接通电源时节目主持人将开关置于“清零”位置,抢答器处于静止工作状态,编号显示器灭灯,定时显示器显示设定的时间,当节目主持人宣布抢答题目后,说一声“抢答开始”,同时将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,定时器倒计时。当定时时间到,却没有选手抢答,系统报警,并封锁输入电路,禁止选手超时后抢答。当选手在定时时间内按动抢答键时,抢答器要完成以下四项工作: (1)优先编码电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编码;

数电课程设计八路抢答器

《数字电子技术》课程设计 题目八路抢答器 专业班级11级通信工程三班 院(系)信息工程学院 完成时间2013 年11月28日

目录 1课程设计的目的 (1) 2 课程设计的任务与要求 (1) 3 设计方案与论证 (1) 3.1方案选择与论证 (1) 3.2抢答器的原理方框图 (2) 4 设计原理与功能说明 (3) 4.1 元器件选用原理 (3) 4.2总体电路原理 (6) 5 单元电路设计 (9) 5.1NE555接成音多谐振荡器构成的报警电路 (9) 5.2复位键与抢答键的工作原理 (9) 6 仿真与电路的连接 (9) 6.1 电路的仿真 (9) 6.2电路的连接 (10) 7 实训报告 (10)

参考文献 (12) 附件一:元器件清单 (13) 附件二:实物图 (14)

1 课程设计的目的 通过这次课程设计,主要了解简单数字电路抢答器的基本工作原理,学会设计与分析优先编码电路、数码显示电路、报警电路,在巩固数电知识的基础上,提高自身逻辑思维能力,拓展实际操作能力,同时学会利用团队力量解决某些技术难关。从而正确设计出各个单元电路,并简单掌握电路仿真技术。 2 课程设计的任务与要求 设计一个八路抢答器,以CD4511集成芯片为核心原件来实现功能要求,在抢答过程中,可以更好的精确选手抢答的先后。此抢答器主要运用于竞赛活动中。 此抢答器可以容纳八个选手,每个选手都有一个抢答按钮。在主持人按下复位键宣布抢答开始的时候,选手就开始进行抢答,在指定时间内选手进行抢答,数码显示屏上会显示最先抢答选手的编号。如果主持人没有按下开始键而选手就抢答视为犯规,数码显示屏显示犯规者的编号,扬声器持续发生。主持人可按复位键,新一轮抢答开始。[1] 3 设计方案与论证 3.1 方案选择与论证 八路抢答器的关键部分是数字优先编码电路、锁存/译码/驱动电路,由数码显示电路和报警电路组控制信号的产生。下面列出两种方案:方案一: 该方案采用了74LS148来实现抢答器的选号,采用了74LS279芯片实现对号码的锁存,采用了74LS192实现十进制的减法计数,555芯片产生秒脉冲信号来共同实现倒计时,采用了74LS121单稳态芯片来实现报警信号的输

相关文档
最新文档