智能电梯控制器的设计

智能电梯控制器的设计
智能电梯控制器的设计

电子技术课程设计报告

智能电梯控制器的设计

班级自动化1306

学号

姓名

日期2016-6-20

目录:

一.课程设计题目 (3)

二.问题分析 (4)

1.方向优先的电梯调度 (4)

2.电梯的状态 (4)

3.状态编码方案 (4)

三.状态机 (5)

四.系统输入与输出 (6)

五.系统框图与系统设计 (7)

1.系统框图 (7)

2.系统设计 (8)

六.波形仿真 (9)

1.请求处理 (9)

2.状态转换 (10)

3.楼层推进 (11)

4.电梯运行的优先级 (12)

5.复位信号reset (13)

6.锁定禁止运行按键forbid (13)

七.程序源代码及注释 (14)

八.引脚分配 (26)

六.心得与体会 (27)

一.课程设计题目

设计内容与要求:

①楼层的高度大于等于6,根据降低运行成本的原则,设计并实现

一个以方向优先电梯调度算法。

②要求能够使用按键模拟对电梯的控制,为了便于观察,将电梯所在

的楼层(1~6)用数码管显示出来,将电梯的上下楼状态(上,下,开

门,关门,静止)用发光管或数码管显示出来,并且能够实现对电

梯实现锁定禁止运行。

③画出电梯控制器的状态机,写出状态编码方案。

④用Verilog语言对设计进行描述,并下载到实验板上调试成功,适

当增加比较符合现实的控制限制。

⑤写出设计性实验报告,并打印各层次的源文件和仿真波形,然后

作简要说明。并谈谈此次实验的收获、感想及建议

二.问题分析

1.方向优先的电梯调度

电梯有三种运行状态,分别是上升、下降和静止等待。

出于降低成本的考虑,电梯在某一方向运行时,要完成该方向上所有的请求后,才能变换运动方向去响应反方向的请求。

电梯上升时,优先响应电梯现在楼层以上楼层的上升、下降和楼层选择信号;电梯下降时,优先响应电梯现处楼层以下楼层的上升、下降和楼

层选择信号;电梯处于等待状态时,响应收到的第一个请求信号。

因此,电梯运行方向的判断要综合考虑三种请求信号、电梯当前所处楼层和电梯当前的状态等多种因素。

2.电梯的状态

电梯运动过程中,有五种基本状态:上升,下降,开门,关门,静止。

考虑到电梯停止在某一楼层等待请求信号的状态为静止状态;另外,电梯运动过程中暂时停靠在某一楼层,开门后需要等待一段时间,这段时

间电梯也没有动作,这时电梯也是“静止”状态。若只用一个状态表示两

种不同的静止情况,需要增加一个电梯的“开/关门标志”来区分两个“静

止”状态。

因此,为了简化逻辑,在5个基本状态的状态编码中增加一个状态,用一个新状态(OPENWAIT)来表示开门情况下的静止状态。

最终,电梯的状态机中共有6个状态。

3.状态编码方案

从数字电路设计的理论课中,我们学到,在进行状态编码时,若系统中存在多个状态,为了增强系统的稳定性。可用二进制位数与状态数相同

的变量来表征系统状态。

因此,电梯的状态用一个6位的二进制数currentstate[5:0]来表示。

6个状态分别编码为:

STATIC=6'b000001,

UP=6'b000010,

DOWN=6'b000100,

OPEN=6'b001000,

CLOSE=6'b010000,

OPENWAIT=6'b100000;

这样,每次状态发生变化时,只用检测状态变量中的的某一位二进制数即可知道电梯处于哪一状态。如要检测电梯是否处于OPEN开门状态,

只需检测currentstate[3]是否等于1即可。

三.状态机

电梯的状态机如上图所示,由于电梯状态转换时的判断条件过于复杂,在此不予列出。

四.系统输入与输出

五.系统框图与系统设计

1.系统框图

2.系统设计

六.波形仿真

1.请求处理

(1)楼层请求处理

此时floorsel=6,request_button有一个脉冲信号,表示电梯有人要去6楼,因此request_out[5]保持置位,电梯到达5楼时,请求完成,request_out[5]被清零。

(2)上升请求处理

此时floorsel=5,up_button有一个脉冲信号,表示5楼有人要上去,因此up_out[4]保持置位,电梯到达5楼时,请求完成,up_out[4]被清零。

(3)下降请求处理

此时floorsel=6,down_button有一个脉冲信号,表示6楼有人要下去,因此down_out[5]保持置位,电梯到达6楼时,请求完成,down_out[5]被清零。

2.状态转换

(1) 上升过程

图中floorsel=3时,up_button有脉冲信号,表示3楼有上升请求。电梯开始时静止在1楼,接收请求信号后,状态由1(静止)变为2(上升),到达目标层的3楼,状态变成4(开门),开门后状态变成6(开门静止),经过3个时钟周期,状态变为5(关门),因为没有新的请求,电梯状态保持为1(静止)。

(2)下降过程

图中电梯在6楼的时候,接收到1楼的上升请求信号,电梯执行下降动作。

电梯开始时在6楼,接收请求信号后,状态由5(关门)变为3(下降),到达目标层的1楼时,状态变成4(开门),开门后状态变成6(开门静止),经过3个时钟周期,状态变为5(关门),因为没有新的请求,电梯状态保持为1(静止)。

3.楼层推进

电梯首先接收到2楼的上升请求信号,然后电梯上升到2楼,停止在2楼的过程中又接收到6楼的下降请求,因此电梯又上升到6楼。停止在6楼的过程中又接收到4楼的上升请求,电梯又接着下降到4楼。

4.电梯运行的优先级

(1)上升过程中的下降请求

电梯开始静止在1楼,首先接收到3楼的上升请求信号,还在1楼的时候又收到4楼的下降请求,停止在3楼的时候又收到6楼的下降请求,因此电梯延续方向优先的原则,一直升到6楼,中途并不响应4楼的下降信号,6楼的请求信号响应完成后才去响应4楼的请求信号。

(2)下降过程中的上升请求

电梯上升到6楼之前就已经接收到1楼和3楼的上升请求信号,升到6楼后,电梯开始下降,基于方向优先的原则,电梯一直下降到1楼,中途并不响应3楼的上升请求。

5.复位信号reset

电梯首先收到6楼的下降请求信号,电梯上升的过程中,差不多到4楼的时候,reset=1复位,电梯恢复到初始状态,楼层变为1,状态变为1,请求信号均被清零。

6.锁定禁止运行按键forbid

电梯上升到6楼的过程中,forbid突然被置1,电梯停止运行,楼层和状态都保持不变。Forbid清零后,电梯才恢复正常运行。

七.程序源代码及注释

1.主模块

module

elevator(SYSCLKOUT,forbid,reset,floorsel,up_button,down_button,request_button,p os_out,state,up_request,down_request,st_request);

output [6:0] state; //状态显示输出

output [6:0] pos_out; //楼层显示输出

output [5:0] up_request,down_request,st_request;//请求信号

input [2:0] floorsel; //楼层选择开关

input SYSCLKOUT,forbid,reset,up_button,down_button,request_button;

//系统时钟、禁止运行、复位按键、上升请求按钮、下降请求按钮,楼层请求按钮

wire CLKOUT; //0.5HZ的时钟

wire [5:0] up_request,down_request,st_request,position,posout;

wire [1:0] updnflag; //运行方向标志

wire [5:0] currentstate,nextstate; //现态,次态

wire [2:0] count; //OPENWAIT的计数值

divider d1(SYSCLKOUT,forbid,CLKOUT);//分频器

//上升请求处理

up_manager

u1(reset,floorsel,up_button,position,currentstate,up_request,updnflag);

//下降请求处理

down_manager

d2(reset,floorsel,down_button,position,currentstate,down_request,updnflag);

//楼层请求处理

st_manager

s1(reset,floorsel,request_button,position,currentstate,st_request);

//次态生成器

nextgen

n1(up_request,down_request,st_request,currentstate,position,count,updnflag,next state);

//状态转换控制器

statemachine s2(CLKOUT,reset,nextstate,count,position,posout,updnflag)

pos_decoder p1(posout,pos_out); //楼层译码器

state_decoder s3(currentstate,state); //状态译码器

endmodule

2.分频器

module divider(SYSCLKOUT,forbid,CLKOUT);

//将50MHZ的系统时钟分频为周期为2S的时钟信号

input SYSCLKOUT,forbid; //forbid=1时,该模块输出的时钟强制为低,即时钟失效 output CLKOUT; //输出时钟信号

reg CLK = 1'b0; //CLK为中间信号,为恒定的0.5HZ的时钟

reg [25:0] Q;

always @(posedge SYSCLKOUT)

begin

if(Q[25:0] == 49999999) //计数到半周期则反转

begin

Q <= 0;

CLK <= ~CLK;

end

else

Q[25:0] <= Q[25:0] + 1'b1; //计数器增1计数

end

assign CLKOUT=CLK&(~forbid); //CLK和forbid相与得到CLKOUT最终输出时钟 endmodule

3.上升请求处理模块

module

up_manager(reset,floorsel,up_button,position,currentstate,up_request,updnflag); //接收1-5的上升请求信号,完成请求后清除请求信号

input up_button,reset;

input [2:0] floorsel; //楼层选择开关

input [5:0] position; //电梯所处的楼层

input [1:0] updnflag; //电梯运行的方向

input [5:0] currentstate;//电梯的状态

output[5:0] up_request; //锁存起来的请求信号

parameter UPFLAG=2'b01,DNFLAG=2'b10,STATIC=2'b00;//电梯运动方向标志

parameter WAIT=6'b000001, UP=6'b000010, DOWN=6'b000100,

OPENDOOR=6'b001000,CLOSEDOOR=6'b010000,OPENWAIT=6'b100000;

parameter FLOOR1=6'b000001, FLOOR2=6'b000010, FLOOR3=6'b000100,

FLOOR4=6'b001000, FLOOR5=6'b010000, FLOOR6=6'b100000;

always@(posedge up_button,posedge reset,posedge currentstate[3])

begin

if(reset==1) up_request=6'd0;//复位时所有上升请求都清零

else if(up_button==1) //up_button被按下时,说明有上升请求

begin

case(floorsel[2:0]) //根据floorsel判断是哪一层楼的上升请求

3'b001:begin up_request[0]<=1'b1;end

3'b010:begin up_request[1]<=1'b1;end

3'b011:begin up_request[2]<=1'b1;end

3'b100:begin up_request[3]<=1'b1;end

3'b101:begin up_request[4]<=1'b1;end

default:up_request<=up_request;//floorsel=0或6或7时均无效

endcase

end

else //检测到电梯开门,说明有请求被完成,需要消除相应的请求

if(updnflag==UPFLAG||updnflag==STATIC)

//电梯方向为上升或静止时,沿途的上升请求和楼层请求可直接消除

up_request<=(~position)&up_request;

else if(updnflag==DNFLAG)

//电梯的运动方向为下降时,只有在电梯当前楼层以下没有下降请求和楼层请求时,才能消除上升请求信号

if(pos==FLOOR1) up_request[0]<=1'b0;

else if(pos==FLOOR2)//1楼没有楼层请求,1-2楼没有下降请求 if((st_request&6'b000001==6'd0)&&(down_request&6'b000011==6'd0))

up_request[1]<=1'b0;

else if(pos==FLOOR3)

if((st_request&6'b000011==6'd0)&&(down_request&6'b000111==6'd0))

up_request[2]<=1'b0;

else if(pos==FLOOR4)

if((st_request&6'b000111==6'd0)&&(down_request&6'b001111==6'd0))

up_request[3]<=1'b0;

else if(pos==FLOOR5)

if((st_request&6'b001111==6'd0)&&(down_request&6'b011111==6'd0))

up_request[4]<=1'b0;

else up_request[5]<=1'b0;

else ;

end

endmodule

4.下降请求处理模块

module

down_manager(reset,floorsel,down_button,position,currentstate,down_request,updn flag);//接收2-6楼的下降请求信号,完成请求后清除请求信号

input down_button,reset;

input [2:0] floorsel;

input [5:0] position;

input [1:0] updnflag;

input [5:0] currentstate;

output[5:0] down_request;

parameter UPFLAG=2'b01,DNFLAG=2'b10,STATIC=2'b00;//电梯运动方向标志

parameter WAIT=6'b000001, UP=6'b000010, DOWN=6'b000100,

OPENDOOR=6'b001000,CLOSEDOOR=6'b010000,OPENWAIT=6'b100000;

parameter FLOOR1=6'b000001, FLOOR2=6'b000010, FLOOR3=6'b000100,

FLOOR4=6'b001000, FLOOR5=6'b010000, FLOOR6=6'b100000;

always@(posedge down_button,posedge reset,posedge currentstate[3])

begin

if(reset==1) down_request=6'd0; //复位时所有下降请求都清零

else if(down_button==1) //down_button被按下时,说明有下降请求

begin

case(Floorsel[2:0])//根据floorsel判断是哪一层楼的下降请求

3'b001:begin down_request[0]<=1'b0;end

3'b010:begin down_request[1]<=1'b1;end

3'b011:begin down_request[2]<=1'b1;end

3'b100:begin down_request[3]<=1'b1;end

3'b101:begin down_request[4]<=1'b1;end

3'b110:begin down_request[5]<=1'b1;end

default:down_request<=down_request;//floorsel等于0,1,7时无效

endcase

end

else //检测到电梯开门,说明有请求被完成,需要消除相应的请求

if(updnflag==UPFLAG) //电梯的运动方向为上升时,只有在电梯当前楼层

以上没有上升请求和楼层请求时,才能消除上升请求信号 if(pos==FLOOR1) down_request[0]<=1'b0;

else if(pos==FLOOR2)//3-6楼没有楼层请求,2-5楼没有上升请求

if((st_request&6'b111100==6'd0)&&(up_request&6'b111110==6'd0))

down_request[1]<=1'b0;

else if(pos==FLOOR3)

if((st_request&6'b111000==6'd0)&&(up_request&6'b111100==6'd0))

down_request[2]<=1'b0;

else if(pos==FLOOR4)

if((st_request&6'b110000==6'd0)&&(up_request&6'b111000==6'd0))

down_request[3]<=1'b0;

else if(pos==FLOOR5)

if((st_request&6'b100000==6'd0)&&(up_request&6'b110000==6'd0))

down_request[4]<=1'b0;

else down_request[5]<=1'b0;

else //电梯方向为下降或静止时,沿途的下降请求和楼层请求可直接消除

down_request<=(~position)&down_request;

end

endmodule

5.楼层请求处理模块

module

st_manager(reset,floorsel,request_button,position,currentstate,st_request);

//接收1-6楼的楼层请求信号,完成请求后清除请求信号

input request_button,reset;

input [2:0] floorsel;

input [5:0] position;

input [5:0] currentstate;

output[5:0] st_request;

always@(posedge request_button,posedge reset,posedge currentstate[3])

begin

if(reset==1) st_request=6'd0;//复位时所有楼层请求都清零

else if(request_button==1) //request_button被按下时,说明有楼层请求

begin

case(Floorsel[2:0])//根据floorsel判断是哪一层楼的楼层请求

3'b001:begin st_request[0]<=1'b1;end

3'b010:begin st_request[1]<=1'b1;end

3'b011:begin st_request[2]<=1'b1;end

3'b100:begin st_request[3]<=1'b1;end

3'b101:begin st_request[4]<=1'b1;end

3'b110:begin st_request[5]<=1'b1;end

default:st_request<=st_request;

endcase

end

//检测到电梯开门,说明有请求被完成,需要消除相应的请求

else //不论电梯在向哪个方向运动,沿途的楼层请求限号都可以清零

st_request<=(~position)&st_request;

end

endmodule

6.电梯次态生成器

module

nextgen(up_request,down_request,st_request,currentstate,position,count,updnflag ,nextstate);

input [5:0] up_request,down_request,st_request; //三种请求信号

input [5:0] currentstate,position; //电梯的现态和所处楼层

input [2:0] count; //OPENWAIT的计数值

input [1:0] updnflag; //运动方向标志

output reg [5:0] nextstate; //输出电梯次态

parameter UPFLAG=2'b01,DNFLAG=2'b10,STATIC=2'b00;//电梯运动方向标志 parameter WAIT=6'b000001, UP=6'b000010, DOWN=6'b000100,

OPENDOOR=6'b001000,CLOSEDOOR=6'b010000,OPENWAIT=6'b100000;

parameter FLOOR1=6'b000001, FLOOR2=6'b000010, FLOOR3=6'b000100,

FLOOR4=6'b001000, FLOOR5=6'b010000, FLOOR6=6'b100000;

always @(currentstate or up_request or down_request or st_request or position or count)

case(currentstate)

WAIT: //电梯静止状态下

begin

if(st_request>0) //电梯内有楼层请求信号

begin

if((st_request&position)>0)

nextstate=OPENDOOR; //当前楼层有请求信号,开门 else if(st_request>position)

nextstate=UP;//当前楼层以上有请求,上升

else

nextstate=DOWN; //只有当前楼层以下有请求,下降

end

//没有楼层请求信号时,当前楼层有上升请求或下降请求,开门

else if((up_request&position)||(down_request&position))

begin

nextstate=OPENDOOR;

end

else if((up_request>position)||(down_request>position))

nextstate=UP;//当前楼层以上有上升或下降请求信号,上升

else if(up_request||down_request)

nextstate=DOWN;//只有当前楼层以下有上升或下降请求信号

else //没有请求信号,电梯则等待

nextstate=WAIT;

end

UP: //电梯正处于上升状态时

begin

if((st_request&position)||(up_request&position))

//当前楼层有楼层请求信号或上升请求信号时,需要开门

nextstate=OPENDOOR;

else if((st_request>position)||(up_request>position))

nextstate=UP;//当前楼层以上有上升或楼层请求信号时,上升

else if(down_request>0)

begin

if((down_request>position)&&((down_request^position)>position))

nextstate=UP;//当前楼层以上有下降请求,继续上升

else if((down_request&position)||(position

//当前楼层以上没有任何请求信号,当前楼层有下降请求,下一状态转开门

nextstate=OPENDOOR;

else if((down_request&position)&&(position==FLOOR6))

nextstate=OPENDOOR;//6楼有下降请求,直接开门

else //只在当前楼层以下有下降请求信号,电梯下降

nextstate=DOWN;

else if(st_request||up_request)

//只在当前楼层以下有上升或楼层请求信号

nextstate=DOWN;

else //没有请求信号时,电梯下一状态转为静止

nextstate=WAIT;

end

DOWN: //电梯正下降中

Begin //当前楼层有下降或楼层请求信号,需要开门

if((st_request&position)||(down_request&position))

nextstate=OPENDOOR;

else

if(((st_request&FLOOR1)

nextstate=DOWN;

else

if(((down_request&FLOOR1)

R2)

nextstate=DOWN;

//当前楼层以及当前楼层以下没有楼层请求信号和下降请求信号时,有上升请求信号的情况 else if(up_request>0)

begin

if(((up_request&FLOOR1)

nextstate=DOWN;

//当前楼层以下没有任何请求信号,当前楼层没有下降请求,当前楼层有上升请求 else if((up_request&position)&&(position>FLOOR1)) nextstate=OPENDOOR; //开门

else if((up_request&position)&&(position==FLOOR1)) nextstate=OPENDOOR; //1楼有上升请求信号

else //当前楼层以上有上升请求信号,电梯上升

nextstate=UP;

电梯的电气控制系统设计与实现

编订:__________________ 审核:__________________ 单位:__________________ 电梯的电气控制系统设计 与实现 Deploy The Objectives, Requirements And Methods To Make The Personnel In The Organization Operate According To The Established Standards And Reach The Expected Level. Word格式 / 完整 / 可编辑

文件编号:KG-AO-7382-100 电梯的电气控制系统设计与实现 使用备注:本文档可用在日常工作场景,通过对目的、要求、方式、方法、进度等进行具体的部署,从而使得组织内人员按照既定标准、规范的要求进行操作,使日常工作或活动达到预期的水平。下载后就可自由编辑。 电梯是当前高层建筑不可缺少的垂直方向的交通运输工具,随着计算机及微电子技术的快速发展,电梯控制技术发生了巨大变化,其中PLC控制系统代替传统的继电器控制以及电梯采用了对电动机实现线性调速的调压调频技术,能达到电梯安全平稳运行。 随着人们生活水平的提高及高层建筑的普及,电梯是当前高层建筑不可缺少的垂直方向的交通工具,电梯是集机电一体的复杂系统,涉及机械传动、电气控制和土建等工程领域多种领域专业与一体的综合技术。随着社会的发展及对安全的重视,在设计电梯的时候,应具有高度的安全性。这样就对建筑内的电梯的调速精度、调速范围等静态和动态特性提出了更高的要求。当前由可编程序控制器(PLC)和微机组成的电梯运行逻辑控制系统具有可靠性高、维护方便、开发

电梯控制器设计

单片机原理与应用技术课程设计报告(论文) 基于单片机的电梯控制器的设计 专业班级:电子132班 姓名:耿彦 时间:11.30——12.20 指导教师:苏珂珂 2015 年 12 月 18 日

电梯控制器课程设计任务书 1.设计目的与要求 1.1 基本功能 (1)显示:本设计要求实现6层控制,实时显示电梯所在楼层位置。 (2)升降控制:采用一台电动机的正反转来实现电梯的升降。 (3)具备不可逆响应的功能: 电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向呼叫均无效。 1.2 扩展功能 (1)可增加人性化的按键语音服务功能。 (2)可增加遥控或感应操作功能。 2.设计内容 (1)画出电路原理图,正确使用逻辑关系; (2)确定元器件及元件参数; (3)进行电路模拟仿真; (4)SCH文件生成与打印输出; 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

基于单片机的电梯控制器设计 电子132 耿彦 摘要:单片机即单片微型计算机(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM ,定时,计数和多种接口于一体的微控制器。其中52单片机是各种单片机中最为典型和最有代表性的一种,广泛应用于各个领域.电梯是集机械原理应用、电气控制技术、微处理器技术、系统工程学等多学科和技术分支于一体的机电设备,它是建筑中常用的交通工具。本设计选择AT89C52为核心控制元件,设计了一个六层电梯系统,使用C语言进行编程,实现运送乘客到任意楼层,并且实时显示电梯的楼层和电梯上下情况。利用单片机控制电梯有成本低,通用性强,灵活性大及易于实现复杂控制等优点。 关键词:电梯、AT89C52 、LED显示、电机正反转控制、楼层显示 1 引言 随着人们生活水平的不断提高和国名经济的迅速发展。各大城市建筑物在不断向高层化发展。因此电梯在我们的生活中起着举足轻重的作用。电梯已不仅是一种生产环节中的重要设备,更是一种人们频繁乘用的交通运输设备。因此电梯控制技术也在不断的进步和完善,常用的控制技术主要的有两种技术:基于PLC控制和基于单片机控制两大技术。用PLC控制的电梯性能可靠、稳定,但是造价太高。基于单片机控制的电梯可以大大的降低成本而且运行也较可靠,所以现在电梯控制中大多数采用单片机控制。 本文基于单片机89SC51来控制各部分电路,采用单片机构成控制系统,可大大降低成本,而且做成专用控制系统,程序被固化,加强了保密性,提高了可靠性。 2 总体设计方案 电梯控制系统由外部呼叫,内部呼叫,电机控制电路,楼层显示部分等组成。电梯在各楼层的定位本应采用行程开关或者传感器,由于条件限制,采用延时控制。相邻楼层间升降时间设为5秒。 2.1 设计思路 本设计的总思想是,用开关按钮做单片机的控制端来做作为输入信号,说明人在那一层,用七段数码管来显示电梯位置。当电梯到达要求的楼层时,停止、开门,并继续查询有无呼叫信号,如此循环,同时可以利用单片机外部的复位按钮使电梯复位。用单片机来控制电机的正反转来运行电梯的上、下,用发光二极管来显示电梯是上升还是下降,并且整体电梯全部采矩阵键盘来实现。由于设计中有不可逆控制,当上升或下降过程中,只相应同方向的呼叫相应。 2.2 总体设计框图 本电路主要由5大部分电路组成:键盘电路、单片机最小系统电路、楼层显示电路、电机状态显示电路、电机控制电路。其中单片机最小系统主要由复位电路和时钟电路组成。电路复位后楼层显示数字1 表示电梯此时在一楼,显示电路通过74ls245串入并出驱动8位数码管显示,电梯楼层位置是由延时电路控制的,每层之间通过3秒延时控制即每延时3秒表示电梯走了一层。电梯状态是通过两个发光管显示的,绿灯亮表示电梯在向上运行,黄灯亮表示电梯在向下运行。键盘电路采用4×4矩阵键盘(共16个按键),其中10个按键是各层楼外呼按键,6个表示电梯内部的选择键。电梯的正常工作是通过对单片机写入程序控制的。总体设计方框图如图一所示:

电梯控制智能化系统设计方案

精选范文、公文、论文、和其他应用文档,希望能帮助到你们! 电梯控制智能化系统设计方案 目录 第一章概述 (2) 第二章系统需求分析 (4) 第三章系统设计目标及原则 (5) 3.1系统设计目标 (5) 3.2系统设计原则 (6) 第四章系统解决方案及技术描述 (6) 4.1系统概述 (6) 4.2系统基本功能及特点 (7) 4.3系统结构 (8) 第五章设备介绍 (10) 第六章工作原理 (12) 第七章系统设备清单及价格 (14) 第八章工程实施 (15) 第九章售后服务 (17)

第十章质量保证 (19) 第一章概述 1.概述: 物业管理公司或管理人员为了能对楼宇内各种人员的进出 进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以

通过采用对电梯的合理控制实现这种功能需求。VD-TK800是专门用于楼宇的电梯控制和集成的电梯专用控制器。通过采用VD-TK800对电梯按键面板进行改造后,所有使用电梯的持卡人,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。 VD-TK800基于控制软件平台使用的一个控制模块,它与ACS2002门禁控制系统相互兼容,组成一个强大的保安系统网络,也可以独立使用来控制电梯。VD-TK800可在线运行,可以单机独立运行,即使关闭PC机,VD-TK800也可以正常使用,确保其稳定可靠的控制功能,从而提高楼宇管理层次。 VD-TK800/E智能电梯控制器

PLC课程设计(三层电梯控制系统)

三层电梯控制系统的模拟 我设计的三层电梯控制系统的主要功能有:①楼层指示灯亮时表示停在相应的楼层,②每当停在各楼层时其楼层指示灯闪烁1秒接着常亮,③有呼叫的楼层有响应,反之没有,④电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。 2. 硬件电路设计和描述 ①模拟装置介绍 S1、S2、S3分别为轿厢内一层、二层、三层电梯内选按钮;D2、D3分别为二层、三层电梯外下降呼叫按钮;U1、U2分别为一层、二层电梯外上升呼叫按钮;SQ1、SQ2、SQ3分别为一层、二层、三层行程开关,模拟实际电梯位置传感器的作用。 L1、L2、L3分别为一层、二层、三层电梯位置指示灯;DOWN为电梯下降状态指示灯;UP为电梯上升状态指示灯;SL1、SL2、SL3分

别为轿厢内一层、二层、三层电梯内选指示灯。 ②控制要求 电梯由安装在各楼层门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在由一层运行至三层的过程中,在二层轿箱外呼叫时,若按二层上升呼叫按钮,电梯响应呼叫;若按二层下降呼叫按钮,电梯运行至二层时将不响应呼叫运行至三层,然后再下降,响应二层下降呼叫按钮。 电梯位置由行程开关SQ1、SQ2、SQ3决定,电梯运行由手动依次拨动行程开关完成,其运行方向由上升、下降指示灯UP、DOWN 决定。 例如:闭合开关SQ1,电梯位置指示灯L1亮,表示电梯停在1层,这时按下三层下呼按钮D3,上升指示灯UP亮,电梯处于上升状态。断开SQ1、闭合SQ2,L1灭、L2亮,表示电梯运行至二层,上升指示灯UP仍亮;断开SQ2、闭合SQ3,电梯运行至三层,上升指示灯UP 灭,电梯结束上升状态,以此类推。 当电梯在三层时(开关SQ3闭合),电梯位置指示灯L3亮。按下轿厢内选开关S1,电梯进入下降状态。在电梯从三层运行至一层的过程中,若按下二层上呼U2与下呼按钮D2,由于电梯处于下降状态中,电梯将只响应二层下呼,不响应二层上呼。当电梯运行至二层时,

基于单片机的电梯控制系统毕业论文完整

. .. . 分类号 XX大学 毕业设计(论文) 题目基于单片机的电梯控制系统 并列英文题目Elevator control system based on single chip 系部:电子信息系专业:应用电子技术 姓名:XXX 班级:电子0801 指导教师:XXX 职称:教师 论文报告提交日期:2011年5月25日

XX大学

模拟电梯控制系统设计 摘要单片机即单片微型计算机(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM ,定时,计数和多种接口于一体的微控制器。其中51单片机是各种单片机中最为典型和最有代表性的一种,广泛应用于各个领域.电梯是集机械原理应用、电气控制技术、微处理器技术、系统工程学等多学科和技术分支于一体的机电设备,它是建筑中的永久垂直交通工具。本论文选择AT89S51为核心控制元件,设计了一个八层电梯系统,使用单片机汇编语言进行编程,实现运送乘客到任意楼层,并且显示电梯的楼层和上下行。利用单片机控制电梯有成本低,通用性强,灵活性大及易于实现复杂控制等优点。 关键词单片机电梯系统控制

Simulated elevator control system design Abstract Microcontroller that microcomputer (Single-Chip Microcomputer) gathering CPU, RAM, ROM, the timing, number and variety of interface integrated microcontrollers. 51 various SCM SCM is the most typical and most representative of a widely used in various fields. Elevator is the application of the principle set machinery, electrical control technology, microprocessor technology, systems engineering and other technical disciplines and branches of the integration of mechanical and electrical equipment, which is building a permanent vertical transport. This paper choice AT89S51 control of the core components, designed a new 8 storey lift systems, using single-chip assembly language programming, transporting passengers arrived a floor, it also shows the elevator floor and downlink. SCM control elevators low cost, versatility, flexibility and ease of large complex control advantages Keyboards Single-Chip Microcomputer Elevator system control

智能电梯控制器的设计

电子技术课程设计报告 智能电梯控制器的设计 班级自动化1306 学号 姓名 日期2016-6-20

目录: 一.课程设计题目 (3) 二.问题分析 (4) 1.方向优先的电梯调度 (4) 2.电梯的状态 (4) 3.状态编码方案 (4) 三.状态机 (5) 四.系统输入与输出 (6) 五.系统框图与系统设计 (7) 1.系统框图 (7) 2.系统设计 (8) 六.波形仿真 (9) 1.请求处理 (9) 2.状态转换 (10) 3.楼层推进 (11) 4.电梯运行的优先级 (12) 5.复位信号reset (13) 6.锁定禁止运行按键forbid (13) 七.程序源代码及注释 (14) 八.引脚分配 (26) 六.心得与体会 (27)

一.课程设计题目 设计内容与要求: ①楼层的高度大于等于6,根据降低运行成本的原则,设计并实现 一个以方向优先电梯调度算法。 ②要求能够使用按键模拟对电梯的控制,为了便于观察,将电梯所在 的楼层(1~6)用数码管显示出来,将电梯的上下楼状态(上,下,开 门,关门,静止)用发光管或数码管显示出来,并且能够实现对电 梯实现锁定禁止运行。 ③画出电梯控制器的状态机,写出状态编码方案。 ④用Verilog语言对设计进行描述,并下载到实验板上调试成功,适 当增加比较符合现实的控制限制。 ⑤写出设计性实验报告,并打印各层次的源文件和仿真波形,然后 作简要说明。并谈谈此次实验的收获、感想及建议

二.问题分析 1.方向优先的电梯调度 电梯有三种运行状态,分别是上升、下降和静止等待。 出于降低成本的考虑,电梯在某一方向运行时,要完成该方向上所有的请求后,才能变换运动方向去响应反方向的请求。 电梯上升时,优先响应电梯现在楼层以上楼层的上升、下降和楼层选择信号;电梯下降时,优先响应电梯现处楼层以下楼层的上升、下降和楼 层选择信号;电梯处于等待状态时,响应收到的第一个请求信号。 因此,电梯运行方向的判断要综合考虑三种请求信号、电梯当前所处楼层和电梯当前的状态等多种因素。 2.电梯的状态 电梯运动过程中,有五种基本状态:上升,下降,开门,关门,静止。 考虑到电梯停止在某一楼层等待请求信号的状态为静止状态;另外,电梯运动过程中暂时停靠在某一楼层,开门后需要等待一段时间,这段时 间电梯也没有动作,这时电梯也是“静止”状态。若只用一个状态表示两 种不同的静止情况,需要增加一个电梯的“开/关门标志”来区分两个“静 止”状态。 因此,为了简化逻辑,在5个基本状态的状态编码中增加一个状态,用一个新状态(OPENWAIT)来表示开门情况下的静止状态。 最终,电梯的状态机中共有6个状态。 3.状态编码方案 从数字电路设计的理论课中,我们学到,在进行状态编码时,若系统中存在多个状态,为了增强系统的稳定性。可用二进制位数与状态数相同 的变量来表征系统状态。 因此,电梯的状态用一个6位的二进制数currentstate[5:0]来表示。 6个状态分别编码为: STATIC=6'b000001, UP=6'b000010, DOWN=6'b000100, OPEN=6'b001000, CLOSE=6'b010000, OPENWAIT=6'b100000; 这样,每次状态发生变化时,只用检测状态变量中的的某一位二进制数即可知道电梯处于哪一状态。如要检测电梯是否处于OPEN开门状态, 只需检测currentstate[3]是否等于1即可。

电梯控制系统设计方案

上海四景计算机信息科技有限公司 电 梯 控 制 系 统 方 案

上海四景计算机信息科技有限公司 舒特电梯智能控制系统 ---楼宇自动化的首选 前言: 系统概述: 随着高科技的蓬勃发展,智能化管理已经走进了人们的生活。物业管理公司或管理人员为了能对楼宇内各种人员的进出进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现这种功能需求。所有的电梯楼层,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。针对这些需求我们开发了电梯楼层控制器,并分为手动型和自动型两款,客户可以根据需求选择适合自己的产品。 通过智能卡管理电梯运行,可将闲杂人员阻止在电梯之外;同时,又起到了电梯省电省空耗的环保作用;也减少了出现电梯按键失灵的情况;延长了电梯使用寿命;加强了传统安全管理系统中管理的薄弱的一面;提高了物业的安全等级,电梯系统智能化控制已逐渐成为智能化建筑楼宇中必不可少弱电系统之一 二、选择使用电梯控制系统带来的好处 (一)使用梯控制系统可有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现各种功能需求。 (二)使用梯控制系统能够提高楼盘的整体智能化程度,提升楼盘亮点和档次,充分体现智能化楼宇和智能化小区意义,是楼盘更具附加值。 (三)使用梯控制系统能够使公共电梯轻松晋级为私有电梯,能够让业主充分体现私有电梯的尊贵和方便性。 (四)使用梯控制系统能够为用户提供更方便和更公平使用。 (五)协助收取物业费 管理人员可对系统的用户卡设定使用权限,设定失效日期,便于控制管理费用的收取。 如用户使用到达使用的失效时间,则不能开梯,提醒并促使用户到达管理处及时缴费,对于不按时交纳物业费的业主,则不能使用电梯,有效的将管理费用与用户使用权限挂

单片机课程设计之电梯控制系统

微控综合系统课程设计报告 专 班 姓 学 2016 年 12 月 23 日

摘要 本文介绍了一种采用STC15F2K60S2芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现电子设计的方法,利用单片机编程实现功能,简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。硬件部分主要由单片机的最小模块、电梯内外按钮控制模块、数码管显示楼层模块、发光二极管显示目的楼层模块、报警显示模块组成。软件部分使用kiel软件进行C语言程序编写,用proteus软件进行仿真调试。本设计具有电梯控制系统所需的一些基本功能,能通过方向按键选择方向,能通过数字按键选择楼层,数码管显示实时楼层数,电动机控制部分采用直流电机及H桥驱动电路,使电梯箱能上下运动。硬件设计简单可靠,结合软件,基本实现了五层电梯运行的模拟仿真。 关键词:STC15F2K60S2芯片;电梯控制系统;C语言 Abstract This paper describes the use of a STC15F2K60S2 chip for elevator control system design methods, mainly elaborates how to use microcontroller programming method to realize electronic design, realize the function of the use of single-chip programming, concise and changing the design method shortens the development cycle, at the same time the elevator control system smaller and more powerful. The hardware part is composed of the smallest module of the microcontroller, the internal and external button control module, the digital control display module, the light emitting diode display module and the alarm module. The software part uses the Kiel software to carry on the C language programming, uses the Proteus Software to carry on the simulation debugging. Some of the basic functions of the design of the elevator control system required, canchoose direction key direction through the number keys to select the floor, real-time digital display of the number of floors, the motor control part adopts DC motor and H bridge driving circuit, the elevator box can move up and down. The hardware design is simple and reliable, combined with the software, the basic realization of the five elevator operation simulation. Key words: STC15F2K60S2 chip; elevator control system; C language. 1.引言 随着现代高科技的发展,住房和办公用楼都已经逐渐向高层发展。电梯是高层宾馆、商店、住宅、多层仓库等高层建筑不可缺少的垂直方向的交通运输工具。因此电梯在我们的生活中起着举足轻重的作用。电梯已不仅是一种生产环节中的重要设备,更是一种人们频繁乘用的交通运输设备。由于传统的电梯运行逻辑控制系统采用的是继电器逻辑控制线路。采用这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术发展来看,这种系统将逐渐被

自动化毕业论文(四层电梯的PLC控制系统设计与实现)概要

摘要 随着科学技术的发展,近年来我国的电梯生产技术得到了迅速发展,一些电梯厂也在不断改进设计、修改工艺。更新换代生产更新型的电梯,电梯主要分为机械系统与控制系统两大部份,随着自动控制理论与微电子技术的发展,电梯的拖动方式与控制手段均发生了很大的变化,交流调速是当前电梯拖动的主要发展方向。目前电梯控制系统主要有三种控制方式:继电路控制系统(早期安装的电梯多位继电器控制系统)、PLC控制系统、微机控制系统。继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,目前已逐渐被淘汰。微机控制系统虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等缺陷。而PLC控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和调试周期较短等优点,倍受人们重视等优点,已成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传统继电器控制系统的技术改造。 关键词PLC;电梯;控制系统;设计 I

Abstract Along with science's and technology's development, the recent years, our country's elevator production technology obtained the rapidly expand. Some elevator factory unceasingly is also improving the design, the revision craft. The renewal production renewal's elevator, the elevator mainly divides into the mechanical system and the control system two major parts, along with the automatic control theory and microelectronic technology's development, elevator's dragging way and the control method has had the very big change, the exchange velocity modulation is the current elevator dragging main development direction. At present the lift control system mainly has three control modes: Following electric circuit control system (“early installment elevator many black-white control system), PLC control system, microcomputer control system. Because the black-white control system the failure rate is high, the reliability is bad, control mode not nimble as well as consumed power big and so on shortcomings, at present has been eliminated gradually. Key words PLC, elevator, control system, design II

2019年电梯控制智能化系统设计方案.

2019年电梯控制智能化系统设计方案 目录 第一章概述 (2) 第二章系统需求分析 (3) 第三章系统设计目标及原则 (4) 3.1系统设计目标 (4) 3.2系统设计原则 (4) 第四章系统解决方案及技术描述 (5) 4.1系统概述 (5) 4.2系统基本功能及特点 (5) 4.3系统结构 (5) 第五章设备介绍 (7) 第六章工作原理 (8) 第七章系统设备清单及价格 (10) 第八章工程实施 (11) 第九章售后服务 (13) 第十章质量保证 (14)

第一章概述 1.概述: 物业管理公司或管理人员为了能对楼宇内各种人员的进出进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现这种功能需求。VD-TK800是专门用于楼宇的电梯控制和集成的电梯专用控制器。通过采用VD-TK800对电梯按键面板进行改造后,所有使用电梯的持卡人,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员 进出记录都有据可寻。 VD-TK800基于控制软件平台使用的一个控制模块,它与ACS2002门禁控制系统相互兼容,组成一个强大的保安系统网络,也可以独立使用来控制电梯。VD-TK800可在线运行,可以单机独立运行,即使关闭PC机,VD-TK800也可以正常使用,确保其稳定可靠的控制功 能,从而提高楼宇管理层次。 VD-TK800/E智能电梯控制器

电梯控制系统设计设计说明

电梯控制系统设计设计说明

第 1 页共 3 页 编号: 毕业设计说明书 题目:电梯控制系统设计 院(系):电子工程与自动化学院 专业:电子信息科学与技术专业 学生姓名: 学号:0900840218 指导教师:李莉 职称:讲师 题目类型:理论研究实验研究工程设计√软件开发 2013年5月20日

第 3 页共 39 页 摘要 本设计主要利用AT89S52单片机,实现电梯控制系统的设计。单片机与电机驱动电路的结合完成了电梯基本的升降、楼层停靠、方向选择、时间控制等基本功能,研究并实现了在上位机的模式下通过LABVIEW的远程监测的方法,完成了系统样机的设计与制作。 本设计参照了通用电梯的设计标准,有良好的操作界面和通用的外部接口,具有人性化设计,实现较好的外设兼容性。同时在系统样机中完成的其它设计研究还包括,利用LED和蜂鸣器组成的简单电路实现电梯意外声光报警、利用数码管实现电梯楼层显示,利用4x4矩阵键盘实现电梯楼层按键选择,利用LED实现目的楼层的指示,利用MAX232串口电路实现串口通信,来监测电梯实时状态。样机使用的主要器件包括低功耗、高性能的AT89S52单片机,低功耗、低成本、低电压的MAX232,双全桥电机专用驱动芯片L298,共阴极八段数码管,4x4矩阵键盘等,通过比较合理的设计使样机系统基本达到了任务要求,并具有很高的性价比,硬件设计简单可靠。软件部分使用keil软件进行C语言程序编写,用proteus 7软件进行仿真调试。本设计中综合使用了数字电路、模拟电路、高频电路、单片机及编程、硬件逻辑描述、LABVIEW及其应用以及计算机辅助设计(CAD)等多方面的知识,软硬件结合,很好地完成了本科毕业设计任务要求并取得了良好的学习效果。 关键词:AT89C52;单片机;电梯控制系统; C语言

基于PLC的三层电梯控制系统设计 开题报告

工学院毕业设计(开题报告) 题目:基于PLC的三层电梯控制设计 专业:机械械设计制造及其自动化 班级:06级(2)班 姓名:石德龙 学号:2006664220 指导教师:陈娟 日期: 2010-6-7

安徽科技学院本科生毕业论文(设计)选题申请表 基本情况课题名称 教师姓名职称 课题来源 A.科研 B.生产 C.教学 D.其它课题类型 A.论文 B. 设计 选 题 理 由 签字: 年月日 指 导 教 师 意 见签字: 年月日 审 题 意 见 教学院(部)签章: 年月日安徽科技学院本科生毕业论文(设计)开题报告书

题目基于三层电梯的PLC控制 学生姓名石德龙指导教师陈娟职称副教授 一、本课题的研究背景及意义 (1)题目背景:随着城市建设的不断发展,楼群建筑不断增多,电梯在当今社会的生活中有着广泛的应用。电梯作为楼群建筑中垂直运行的交通工具已与人们的日常生活密不可分。实际上电梯是根据外部呼叫信号以及自身控制规律等运行的,而呼叫是随机的,电梯实际上是一个人机交互式的控制系统,单纯用顺序控制或逻辑控制是不能满足控制要求的,因此,大部分电梯控制系统都采用随机逻辑方式控制。传统的电梯运行逻辑控制系统采用继电器逻辑控制线路。这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术上发展来看,这种系统将逐渐被淘汰。如何解决电梯的可靠性、维护方便等问题已成为全社会关注的焦点和大众的迫切心声。 (2)题目研究的意义:目前,由可编程序控制器和微机组成的电梯运行逻辑控制系统,正以很快的速度发展着。采用PLC控制的电梯可靠性高、维护方便、开发周期短,这种电梯运行更加可靠,并具有很大的灵活性,可以完成更为复杂的控制任务,已成为电梯控制的发展方向,其许多功能是传统的继电器控制系统无法实现。 可编程控制(Programmable Controller)系统是专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,在其内部存储执行逻辑运算、顺序控制、定时、计数和算术运算等操作的指令,通过数字式或模拟式的输入输出控制各种类型的机械设备或生产过程。通过可编程控制器可以实现由继电器实现的逻辑控制功能,而且最主要的是可编程控制器的“可编程”功能,使得当改变电梯的控制功能时,只要更改程序即可,而不需要像继电器控制系统那样改变硬件和接线。 二、本课题国内外研究现状 传统的电梯控制系统主要采用继电器—接触器进行控制,其缺点是触点比较多,故障高,可靠性差、体积大、维修工作量大等缺点,正逐步被淘汰。世界上各主要PLC生产厂家几乎都有运动控制功能,它的运动控制功能广泛地应用于各种机械,如金切削机床、金属成型机械、电梯等;随着计算机控制的发展,近年来国外工厂

EDA电梯控制器课程设计报告

成绩评定表

课程设计任务书

摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。 电梯行业也随着科技的发展,不断地出现在人们生活的各个场所,因此,对电梯控制器的设计是一个很实用的例子,对我们掌握EDA技术的应用也有很大的帮助。 关键词:EDA技术电子信息通信自动控制

目录 1、设计要求概述 (1) 1.1 设计要求 (1) 1.2 总体设计思路 (1) 1.3 具体设计思路 (2) 2、功能模块整体结构设计 (2) 2.1 电梯控制器功能 (2) 2.2 电梯控制器设计 (3) 3、各模块详细设计 (3) 3.1底层模块设计 (3) 3.2 顶层模块设计 (6) 4、逻辑仿真与时序仿真的实现 (12) 4.1 底层设计模块的方针及参数设置 (12) 4.2 电梯分层控制模块的仿真及参数设置 (13) 4.3电梯主控制器仿真波形 (15) 5、设计结论 (15) 5.1 设计功能实现情况 (15) 5.2 设计心得 (16) 6、参考文献 (17)

1 电梯控制器的设计要求与设计思路 1.1 设计要求 十层电梯控制器的功能电梯控制器是控制电梯按顾客要求自动上下的装置。 设计要求如下: (1)每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。 (2)设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。(3)电梯每秒升(降)一层楼 (4)电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。 (5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 (6)电梯运行规则——当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到有下楼请求的最高楼层,然后进入下降模式。当电梯处于下降模式时则与上升模式相反,只响应比电梯所在位置低的下楼请求信号,由下而下逐个执行,直到最后一个下楼请求执行完毕;如果低层有上楼请求,则直接降到有上楼请求的最低楼层,然后进入上升模式。 (7)电梯初始状态为一层开门状态 1.2 总体设计思路 实验模拟生活中电梯运动控制,电梯总共十层,最简单的控制思想如下: (1)采集用户呼叫楼层,并放入相应的记忆单元中。 (2)不考虑电梯轿厢所在楼层。若有用户呼叫,电梯上行直到达到用户呼叫最大层,再下行直到到达最低层,由此构成一次行程。 (3)每完成一次行程,检测是否所用用户呼叫均已响应完毕。如果没有,电梯继续运行,直到响应完所有用户呼叫。否则,电梯停止运行。其中用户请求包括外部请求和内部请求。有外部升降请求信号需点亮相应的外部请求指示

基于单片机的智能电梯控制系统设计

基于单片机的智能电梯控制系统设计

摘要 本文介绍了一种采用单片机STC89C52芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现四层电梯的智能控制,利用单片机编程简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。本设计实现了电梯控制系统所需的一些基本功能,能通过电梯内按键或者电梯外上升、下降按键选择楼层,数码管显示实时楼层数,LED显示实时电梯运行状态。原理图和PCB部分采用protel99se专业软件来设计,实现将设计产品化。本次设计更注重了把一些新的思路加入到设计中。主要包括采用了STC89C52芯片,使用C语言进行编程,使其具有了更强的移植性,更加利于产品升级。 关键词:STC89C52;电梯控制系统;protel99se;C语言

Abstract This paper introduces a design method of using STC89C52 chip for elevator control system, mainly describes how to use microcontroller programming to achieve the intelligent four storey elevator control, the design method of microcontroller programming simple and variable, shortens the development cycle, at the same time that the elevator control system smaller and more powerful. Some of the basic functions of the design and implementation of elevator control system required by the elevator, elevator buttons or rise, decline the key to select the floor, digital tube display real-time number of floors, LED display real-time operating state of elevator. Schematic and PCB design using Protel99SE software, the design of products. This design pays more attention to some new ideas into the design. Including the use of the STC89C52 chip, the use of C language programming, which has portability stronger, more conducive to the upgrading of products. Keywords: STC89C52; elevator control system; Protel99SE; C language

基于PLC系统电梯控制系统毕业设计论文

本科学生毕业设计(论文) 毕业论文 课题名称:基于PLC的四层电梯控制 班级:07自动化2 学号:08 姓名: 指导教师: 信息工程系

论文摘要 本文介绍一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道内导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。本机控制单元采用以三菱公司的可编程控制器PLC对机器进行全过程控制。 整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。

目录 论文摘要 (1) 第四章电梯的电气控制系统 (5) 4.1概述 (5) 4.2电梯电气控制系统中的主要电器部件 (5) 4.3电梯自动控制系统中的各主要控制环节及结构原理 (6) 4.3.1 各类电梯安全可靠运行的充分与必要条件 (6) 4.3.2 电梯自动开关门的控制环节 (6) 4.3.3 电梯的方向控制环节 (7) 4.3.4 发生制动减速信号的控制环节 (9) 4.3.5 主驱动控制环节 (10) 4.3.6 电梯的安全保护环节 (10) 4.4电梯的内外召唤指令的登记与消除 (12) 4.4.1 召唤指令信号登记记忆线路的原理说明 (13) 4.4.2 轿内信号的登记、记忆与消除 (14) 4.4.3 层外召唤信号的登记记忆与消除 (15) 4.5电梯的信号指示系统 (16) 4.5.1 数码显示的层楼指示灯 (16) 4.5.2 运行方向灯、轿内指令及厅外召唤信号灯 (16) 4.5.3 超载信号指示灯及音响 (17) 4.6电梯的消防控制系统 (18) 4.6.1 电梯控制系统中适应消防控制的几个基本要求 (18) 4.6.2 消防控制系统的类型及工作原理 (19) 4.7交流信号控制电梯线路原理说明 (20) 4.7.1 概况 (20) 4.7.2 电梯投入使用和撤出使用 (20) 4.7.3 自动开关门 (20) 4.7.4 电梯的启动,加速和满速运行,制动减速,停车和开门 (21) 4.7.5 指令信号登记,记忆和消除 (22) 4.7.6 电梯的安全保护 (22) 第五章结论 (23) 参考文献 (55) 附录一I/O分配表 (56) 附录二交流双速电梯线路图元件代号说明 (57)

智能电梯控制系统设计

湖南文理学院 课程设计报告 课程名称:自动化系统课程设计专业班级:自动化11班学号 学生姓名: 指导教师: 完成时间:2014年11月20日报告成绩:

目录

一、设计题目 智能电梯控制系统设计 二、设计要求 利用PLC与变频器实现电梯的变频调速控制,该电梯控制系统具有同时呼梯控制、各楼层单独呼梯控制、上升、下降运行控制、轿厢位置显示等功能,电梯至少五层以上。 三、电梯控制系统控制系统设计作用与目的 随着我国经济的高速发展,微电子技术、计算机技术和自动控制技术也得到了迅速发展,电梯也已成为人类现代生活中广泛使用的运输工具。随着人们对电梯运行的安全性、舒适性等要求的提高,电梯得到了快速发展,其拖动技术已经发展到了调频调压调速,其逻辑控制也由PLC代替原来的继电器控制。 可编程控制器(PLC)因为稳定可靠、结构简单、成本低廉、简单易学、功能强大和使用方便已经成为应用最广泛的通用工业控制装置,成为当代工业自动化的主要支柱之一。电梯控制要求接入设备使用简便,对应系统组态的编程简单,具有人性化的人机界面,配备应用程序库,加快编程和调试速度。通过PLC对程序设计,提高了电梯的控制水平,并改善了电梯的电梯运行的舒适感。本文争对以上优点,对电梯运行进行了改进,使其达到了比较理想的控制效果。 四、所用设备及软件 本设计除了需要计算机,实验设备THPFSL-1/2还会用到两款软件:作图软件Altim Desinger、编程软件GX-developer。简介如表1所示。 表1 软件简介

系统总体结构原理图 主控制器是整个电梯的核心。不但要保证整个系统的稳定运行,而且要在极短的时间内对系统所有的任务进行响应。 其任务包括:接收、处理电梯的各种状态,并做出相应的动作,控制电梯的总体运行,实施对电梯驱动部分的控制,包括抱闸的松放、门机的开关、变频器低、中、高速的给出等控制。接收轿厢控制器送来的内选信号,执行内选外呼指令,向轿厢控制器、呼梯控制器发送楼层指示信号,实施安全保护等。为了实现电梯状态监控的需要,主控制器还加入了基于LCD显示的电梯参数设置、监控系统。 程序流程图

相关文档
最新文档