基于FPGA的指纹识别系统设计

基于FPGA的指纹识别系统设计
基于FPGA的指纹识别系统设计

基于FPGA的指纹识别系统设计

第一章绪论

1.1 设计背景

生物识别技术是利用人的胜物特征进行身份认证的技术, 人的指纹就是生物特征之一。此外, 生物特征还包括虹膜、视网膜、声音和脸部热谱图等。指纹识别是生物识别技术中最为成熟的, 其唯一性、稳定性, 一直都被视为身份鉴别的可靠手段之一。

由于最早的指纹识别技术仅仅依靠人工对比,工作效率低下、比对正确率低、对比对人员的要求高,从而使得指纹识别技术无法得到广泛应用。但随着计算机的出现及其运算速度的迅速提高,使指纹对比鉴定的应用发生了革命性的变化。使用计算机管理指纹数据库,极大提高了指纹对比的速度,同时由于计算机比对算法的不断改进提高,使指纹比对误识率已降到了10 - 6 以下,不仅可以满足刑侦方面的需要,而且迅速进入了更多的应用领域。

随着光学技术和光学仪器加工工艺的进步,各种采集指纹图案进行身份认证的系统和设备中需要配备的高清晰、无畸变光学采集仪也达到了很高水平,确保可以生成高质量的指纹图像。计算机运算速度的提高和计算机小型化的进展,使采用微机甚至单片机也可以进行指纹对比运算成为可能。现代电子集成制造技术使得我们可以生产出相当小的指纹图像读取设备和指纹识别模块。其成本下降得也很快,大大加快了指纹识别技术的推广速度。

同时人们对消费类产品的要求越来越趋向于小型化,并且对可携带设备的安全性要求也与日俱增。传统的PC、MCU、或者DSP的处理平台移动性比较差,体积比较大,无法满足人们日益增长的需求。所以,设计一套体积比较小、速度更快的嵌入式指纹识别系统是非常有意义的。

而本设计正是为了这一目的,选用具有高集成度、低功耗、短开发周期的FPGA来完成此项设计,以实现系统的ASIC为研究背景,具有很强的现实意义和广阔的市场空间。

本系统采用xilinx公司Spartan 3E系列FPGA作为核心控制器件,这款器件采

用90ns的先进工艺,最大容量50万门,可支持32位的RISC处理器,具有128 Mbit 并行Flash,足以满足设计的要求。该项目利用嵌入式软核实现系统的管理,利用硬件实现识别算法,保证了系统功能的完整性与识别的正确性。而识别算法我们采用美国FBI推荐的特征点匹配算法来实现指纹的识别,更保证了系统的实现。

1.2课题目标和研究内容

1.2.1 课题目标:

以FPGA作为核心控制器件,实现指纹图像的采集、存储、处理和比对等,完成对指纹图像的有效识别。

1.2.2 研究内容:

本系统采用xilinx公司Spartan 3E系列FPGA作为核心控制芯片,通过富士通公司的MBF200指纹传感器实现对指纹图象的采集,利用SPI接口传输到FPGA进行数据的存储,利用内嵌的MicroBlaze处理器对指纹图象进行灰度滤波、二值化、二值去噪、细化等预处理,得到清晰的指纹图象,再从清晰的指纹图象中提取指纹特征点并存入指纹数据库作为建档模版。指纹比对时,采用同样的方法,得到比对模版,然后将比对模版与建档模版利用指纹识别算法进行比对,得出比对结果。该项目利用嵌入式软核实现系统的管理,利用硬件实现识别算法,保证了系统功能的完整性与识别的正确性。该识别系统可用于门禁、考勤、证件管理等很多方面,具有很广泛的应用前景。

1.3 研究意义

生物识别技术越来越多地被应用于身份验证领域。指纹因其惟一性、终生不变性和较低的识别成本而成为目前使用最广泛的生物识别技术,在罪犯识别、社会保险、电子商务、信息安全等领域得到广泛的应用。

便携式的指纹识别技术对我们的生活也具有深远的意义。例如,今后警察可在一个犯罪高发区截住一名嫌疑人,要求其提供指纹而不是身份证或汽车驾照。此人则将其右手的第一、二或第三个手指置于一个与无线PDA相连的传感器上,可以迅速将嫌疑人与以前的犯罪记录进行对比确认。

这种识别技术对于被盗的手机用户也有好处。手机开机时要求用户通过一个快速的认证过程,用户将其手指划过传感器,如果通过认证则授权使用手机的各项功能。如果不是授权用户,手机便继续保持锁住。如果连续几次认证无

法通过,则手机会删除存储器中的关键信息然后关机。

在语音邮件的应用中,当拨出一个语音邮件号码后,用户只需将手指划过传感器便可令系统识别。有了指纹识别后,便无需使用邮箱密码或个人识别号码。

在今后的汽车应用中,用户可输入家庭成员指纹样本,经鉴权才能驾驶。注册过程十分简单:每个授权驾驶的成员将其手指置于传感器上,并将汽车的各种参数按个人爱好进行设置,然后将这些设置存入车载的电脑存储器中。

当驾驶者进入汽车时,他/她将手指置于传感器上,启动识别过程。不到一秒钟,电脑将检测到的指纹模板与存储的模板进行比较,并建立一个与驾驶者相符的相关设置。指纹模板和匹配软件保存在汽车内的一个嵌入式模块中。当指纹匹配成功时,汽车便按已编程设定的内部参数来控制后视镜、汽车座椅、无线基站以及车内空气环境。此外,还可控制驾驶速度,如果驾驶者仅为十来岁的孩子,则将速度限制在每小时55公里。这些功能的实现具有非常多的用处。

可见,指纹识别技术的应用可以使我们的生活更加方便快捷,更加安全。同时本项目重点研究基于FPGA的指纹识别系统,利用FPGA高集成度化,低功耗,短开发周期等优点,实现系统的ASIC,具有更加深远的意义和广阔的市场商机。

第二章系统总体设计

2.1 硬件框图及各模块介绍:

系统采用xilinx公司Spartan-3E 系列FPGA作为核心的控制和运算芯片,数据采集模块由富士通公司的MBF200电容式指纹传感器来完成,MBF200指纹传感器可以完成指纹图像的采集并用其自带的A/D转换器将指纹图像转换成数字信号,利用SPI接口传送至FPGA进行存储。由FPGA处理采集来的数据,由于FPGA内部存储空间太小,无法存储一张完整的指纹图像,所以将指纹数据暂时存储在SDRAM中。当处理图像数据时,FPGA通过读取SDRAM的指纹数据,并在其逻辑单元中进行滤波、灰度归一化、二值化、细化和特征值提取等操作,从而获得重要的指纹图像信息。

系统操作时首先利用键盘选择指纹存储模式,将获得的指纹图像信息存储到FLASH中作为指纹数据库,然后切换到指纹识别模式,再利用上述方法获得指纹图像信息。通过FPGA调用指纹数据库中的数据,寻找与之匹配的指纹信息,最后将比对结果在LCD中显示。本系统还可利用RS232与计算机进行通讯,可以方便扩展,使得系统可以应用到更多的领域。

系统框图如下图1。

图1 系统框图

2.2 项目关键技术及创新性:

2.2.1 传感器的选择

目前,市面上存在很多种类的指纹传感器,应用最广泛的有光学、电容、电磁波、压力、微电机和热学指纹传感器。而本系统采用美国富士通公司的MBF200电容指纹采集传感器来实现对指纹图像的采集,该款指纹传感器采用标准的CMOS 技术,含有8位A/D 转换器,工作在3.3V ~5V 的宽电压范围,能自动检测是否有指纹到达传感器,并实现在线采集,它的最大优点是在保证指纹图像高分辨率(500dpi)的同时大大减少了传感器的尺寸。利用此传感器可以完全满足系统的设计任务。

2.2.2 指纹图像的预处理

图像预处理的主要步骤包括:灰度值归一化、图像分割、滤波、图像增强、二值化、细化等。预处理的目的是改善输入指纹图像的质量,以提高特征提取的准确性。原始指纹图像一般存在噪声污染、脊线断裂或脊线模糊等问题,需要进行图像增强(使用滤波技术)以改善质量。由于指纹特征仅包含在脊线的形状结构中,所以,通过归一化、二值化和细化把深浅不一、宽度不同的脊线变成灰度相同、单像素宽的细脊线,以便于特征提取。

(1) 图像归一化

归一化处理是对原始指纹灰度图像上每一象素点的一种操作,人为改变它的灰度值,消除指纹录入传感器表面的残留噪音以及手指按压力差异对指纹图像的影响,把图像分为w w ?的子块,对于每一子块求其灰度均值Mi 和方差Vi 。子块灰度均值和方差的计算按如下公式:

111(,

)w w x y Mi I x y w w ===?∑∑ (1)

2111[(,)]w w x y Vi I x y Mi w w ===-?∑∑ (2)

其中I (x ,y )为子块中象素(x ,y )的灰度值,子块归一化的目的是调整均值和方差到一个期望的范围,如果用G(i ,j)表示(x ,y )点的归一化后的值,那么第I 个子块归一化后灰度值为:

基于FPGA的数字时钟的设计1

基于FPGA的数字时钟的设计课题: 基于FPGA的数字时钟的设计 学院: 电气信息工程学院 专业: 测量控制与仪器 班级 : 08测控(2)班 姓名 : 潘志东 学号 : 08314239 合作者姓名: 颜志林 2010 年12 月12 日

综述 近年来随着数字技术的迅速发展,各种中、大规模集成电路在数字系统、控制系统、信号处理等方面都得到了广泛的应用。这就迫切要求理工科大学生熟悉与掌握常用中、大规模集成电路功能及其在实际中的应用方法,除通过实验教学培养数字电路的基本实验方法、分析问题与故障检查方法以及双踪示波器等常用仪器使用方法等基本电路的基本实验技能外,还必须培养大学生工程设计与组织实验能力。 本次课程设计的目的在于培养学生对基本电路的应用与掌握,使学生在实验原理的指导下,初步具备基本电路的分析与设计能力,并掌握其应用方法;自行拟定实验步骤,检查与排除故障、分析与处理实验结果及撰写实验报告的能力。综合实验的设计目的就是培养学生初步掌握小型数字系统的设计能力,包括选择设计方案,进行电路设计、安装、调试等环节,运用所学知识进行工程设计、提高实验技能的实践。数字电子钟就是一种计时装置,它具有时、分、秒计时功能与显示时间功能;具有整点报时功能。 本次设计我查阅了大量的文献资料,学到了很多关于数字电路方面的知识,并且更加巩固与掌握了课堂上所学的课本知识,使自己对数字电子技术有了更进一步的认识与了解。

1、课题要求 1、1课程设计的性质与任务 本课程就是电子与信息类专业的专业的专业基础必修课——“数字电路”的配套实验课程。目的在于培养学生的理论联系实际,分析与解决问题的能力。通过本课程设计,使学生在理论设计、计算机仿真、指标调测、故障排除等方面得到进一步的训练,加强学生的实践能力。学生通过设计、仿真、调试、撰写设计报告等过程,培养学生的动手能力与严谨的工作作风。 1、2课程设计的基本技术要求 1)根据课题要求,复习巩固数字电路有关专业基础知识; 2)掌握数字电路的设计方法,特别就是熟悉模块化的设计思想; 3) 掌握QUARTUS-2软件的使用方法; 4) 熟练掌握EDA工具的使用,特别就是原理图输入,波形仿真,能对仿真波形进行分析; 5) 具备EDA技术基础,能够熟练使用VHDL语言进行编程,掌握层次化设计方法; 6) 掌握多功能数字钟的工作原理,学会不同进制计数器及时钟控制电路的设计方法; 7) 能根据设计要求对设计电路进行仿真与测试; 8) 掌握将所设计软件下载到FPGA芯片的下载步骤等等。 9) 将硬件与软件连接起来,调试电路的功能。 1、3课程设计的功能要求 基本功能:能进行正常的时、分、秒计时功能,分别由6个数码管显示24小时,60分钟,60秒钟的计数器显示。 附加功能:1)能利用硬件部分按键实现“校时”“校分”“清零”功能; 2)能利用蜂鸣器做整点报时:当计时到达59’59’’时开始报时, 鸣叫时间1秒钟; 3)定时闹铃:本设计中设置的就是在七点时进行闹钟功能,鸣叫 过程中,能够进行中断闹铃工作。 本人工作:负责软件的编程与波形的仿真分析。 2、方案设计与分析

指纹门禁系统管理系统设计毕业论文

指纹门禁系统管理系统设计毕业论文 目录 第一章绪论 (1) 1.1引言 (1) 1.2研究背景及意义 (1) 1.3本文的结构 (2) 第二章系统硬件设计 (3) 2.1系统的硬件结构 (3) 2.1.1 系统硬件设计综述 (3) 2.1.2 硬件系统元器件概述 (4) 2.2主控芯片硬件设计 (5) 2.2.1 AT89C52介绍 (5) 2.2.2 主要功能特性 (5) 2.2.3 管脚说明 (6) 2.2.4 主控芯片模块设计 (8) 2.3指纹识别模块的硬件设计 (9) 2.3.1 指纹识别模块 (9) 2.3.2 电平信号转换 (10) 2.3.3 稳压器 (10) 2.3.4 数据接收设置 (12) 2.4LCD液晶显示器模块硬件设计 (13) 2.4.1 LCD模块 (13) 2.4.2 接口电路设计 (14) 2.5时钟/日历芯片模块硬件设计 (15) 2.5.1 时钟/日历芯片元器件 (15) 2.5.2 接口电路设计 (16) 2.6E2PROM的读写程序模块硬件设计 (17) 2.6.1 元器件参数 (17) 2.6.2 元器件工作分配 (18) 2.6.3 接口电路设计 (19) 2.7键盘输入模块的硬件设计 (20) 2.8电机正反转电路设计 (21) 2.9紧急复位电路设计 (22) 第三章系统软件设计 (24) 3.1系统软件结构 (24) 3.1.1 系统功能 (24) 3.1.2 系统职能模块 (24) 3.1.3 系统软件流程 (25)

3.2显示程序软件设计 (26) 3.2.1 显示器的读写时序及初始化 (26) 3.2.2 显示程序设计 (27) 3.3键盘输入模块软件设计 (29) 3.4串行E2PROM的读/写程序模块软件设计 (31) 3.4.1 I2C总线协议 (31) 3.4.2 I2C总线写入程序 (31) 3.4.3 I2C总线读程序 (32) 3.5时钟/日历模块软件设计 (34) 总结 (39) 结论 (39) 感悟 (39) 致谢 (40) 参考文献 (40) 附录A: 系统硬件图 (42) 附录B: 系统程序 (44) 附录C: 系统调试图 (51) 附件毕业论文光盘资料

基于指纹识别的电子密码锁设计

基于指纹识别的电子锁系统设计 作者姓名:XX 专业班级:测控技术和仪器2009060101 指导教师:XX 摘要 随着社会的发展和科技的进步,传统的安全防盗系统面临极大的挑战。生物识别技术的蓬勃发展,让人们对于安防系统的设计有了另一种灵感,指纹锁应运而生。可供二次开发的指纹模块已经解决了指纹图像的处理问题,如何实现这种技术的实际应用已经成为急需解决的问题,本设计利用单片机对指纹模块的控制实现了这种技术的应用。设计以指纹传感器对指纹图像的采集为基础,通过单片机控制指纹模块实现对指纹图像的组合处理,系统的各项具体功能皆建立在相应的指纹图像的组合处理基础之上,系统主要实现了指纹模板的录入以及指纹匹配功能。 关键词:指纹识别技术;指纹锁;系统设计

The Design of the system of Electronic lock based on Fingerprint Identification Abstract:With the development of the society and the progress of science and technology, The traditional security system faced with great challenges. With the vigorous development of Biometric Identification Technology, people have another kind of inspiration to design the lock,Fingerprint lock arises at the very historical moment. The fingerprint module for secondary development has solved the problem of image processing, The remaining problem is how to take advantage of the technology in practice. This design has realized the application, which mainly based on the control from MCU to the module of fingerprint. The foundation of this design is fingerprint collection, Through the control from MCU to the module can realize the combination of image processing, based on the combination of image processing can realize the various functions of the system . The mainly functions of the system include the landing and matching of fingerprint template . Keywords: Fingerprint identification technology;Fingerprint lock;System design

基于TMS320VC5501和DSP_BIOS的指纹识别系统设计

收稿日期:2009-11-23 作者简介:刘慧英(1956 ),女,陕西西安人,教授,主要从事智能控制理论与智能交通系统的研究;李飞(1982 ),男,硕士研究生,研究方向为控制理论与控制工程。 基于T M S320VC5501和DSP /BI OS 的 指纹识别系统设计 刘慧英,李 飞,宁 飞,傅 磊 (西北工业大学自动化学院,陕西西安 710129) 摘要:针对目前嵌入式指纹识别系统设计的不足,提出了基于单DSP 处理器结构的指纹识别设计方案。系统硬件采用TMS320VC5501作为处理核心,C MOS 图像传感器HV7131R 为图像采集器件,片上系统芯片CY8C21534设计的电容性触摸按键提供系统控制输入。系统软件以嵌入式实时操作系统DSP /B I OS 为开发平台进行实时多任务设计,并对指纹识别算法进行了硬件平台优化和改进。调试结果表明,该系统运行稳定可靠,匹配精度高,满足设计要求。关键词:TM S320VC5501;图像采集;DSP/BI OS;指纹识别算法 中图分类号:TP39 文献标识码:A 文章编号:1000-8829(2010)07-0028-05 D esign of Fi ngerpri nt R ecognition Syste m B ased on T M S 320VC 5501and DSP /BI O S L I U H u i ying ,LI Fe,i N I N G Fe,i FU Lei (Schoo l of A uto m a tion ,N orth w este rn Po lytechn i ca lU n i versity ,X i an 710129,Chi na) Abst ract :A fi n gerpri n t recogn iti o n syste m is pr oposed based on sing le DSP pr ocessor str ucture because of de si g n fla w s of the presen t e m bedded syste m.T M S320VC5501is used as the processor ,and HV7131R C MOS co lor i m age senso r is used as i m age acqu isiti o n ch i p .C ap sense touch keyboard is i n troduced for input contro l usi n g C Y8C21534SoC chip .The real ti m e mu lti task desi g n o f syste m soft w are is based on the e mbedded real ti m e operation syste m DSP /B I O S .The fi n ger pri n t recogniti o n algorithm is opti m ized and i m proved in the hard w are platfor m .The test resu lt sho w s t h at th is syste m r uns stable and the precisi o n o f m atch i n g m eets the re quire m en ts o f syste m .K ey w ords :TM S320VC5501;i m age acquisiti o n;DSP /B I O S ;fi n gerpri n t recogn iti o n algorithm 随着现代社会数字化、信息化和网络化进程的不断加快,人们之间的信息交流愈加频繁,对身份鉴别技术的要求也越来越高。传统的身份识别(如钥匙、证件和密码等)存在容易丢失、磨损以及遗忘等缺点,因此人们把目光转向生物识别技术。所谓生物识别技术是指利用人的生理特征或行为特征来进行个人身份的鉴定。指纹的唯一性和终生不变性,使其成为当前生 物识别的主要研究对象[1] 。指纹识别技术具有很高的可行性和实用性,因而成为目前应用最广泛的个人身份认证技术之一。指纹识别技术的应用系统可以分 为两大类,即联机(PC)识别系统和嵌入式识别系统。嵌入式识别系统结构上相对独立,不需要连接计算机就可以独立完成其设计功能,具有速度快、体积小、接口多等优点,被应用到各种领域。但是该系统存在两方面的问题:一是缺少操作系统,程序处于!裸跑?状态,降低了系统运行的可靠性;二是更多地采用!DSP +FPGA ?的处理器结构,增加了系统功耗与成本,从而限制了其应用的范围。因此,开发基于操作系统的DSP 指纹识别系统具有很大的实际意义。 1 系统设计方案 本系统以T M S320VC5501(以下简称C5501)DSP 为核心处理器,它是T I 公司最新推出的高性能、低功耗16位定点DSP 芯片,器件上集成了多种先进的外设[2] 。电容式触摸键盘和LCD 构成的人机交互模块

fpga数字钟课程设计报告

f p g a数字钟课程设计报告 Prepared on 24 November 2020

课程设计报告 设计题目:基于FPGA的数字钟设计 班级:电子信息工程1301 姓名:王一丁 指导教师:李世平 设计时间:2016年1月 摘要 EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II 为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL 对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。 关键词:EDA VHDL语言数字钟 目录 摘要 1 课程设计目的 2 课程设计内容及要求

设计任务 设计要求 3 VHDL程序设计 方案论证 系统结构框图 设计思路与方法 状态控制模块 时分秒模块 年月日模块 显示模块 扬声器与闹钟模块 RTL整体电路 4 系统仿真与分析 5 课程设计总结,包括.收获、体会和建议 6 参考文献 1 课程设计目的 (1)通过设计数字钟熟练掌握EDA软件(QUARTUS II)的使用方法,熟练进行设计、编译,为以后实际工程问题打下设计基础。 (2)熟悉VHDL 硬件描述语言,提升分析、寻找和排除电子设计中常见故障的能力。 (3)通过课程设计,锻炼书写有理论根据的、实事求是的、文理通顺的课程设计报告。

指纹识别门禁系统产品合作协议

指纹识别门禁系统产品合作协议 甲方:××科技发展有限公司 住所地: 法定代表人: 联系电话: 乙方:××电子信息技术有限公司 住所地: 法定代表人: 联系电话: 鉴于乙方拥有指纹识别门禁系统产品完整的所有权和知识产权、且至本协议签定之日未与任何他方就本产品、知识产权有任何形式的合作,乙方也未以任何方式生产和销售本产品;

甲、乙双方经协商一致,在平等、自愿的基础上,就合作生产、销售由乙方自主研制的指纹识别门禁系统产品项目达成本协议,以资共信守。 1.合作内容 本项目合作的内容为:生产、销售由乙方自主研制的指纹识别门禁系统产品。首批生产套(其中银行指纹识别联动门禁系统套),以后批产品生产量根据市场销售情况待定。 2.合作期限 年月日--年月日,共捌年。 3.合作体制 在甲方框架内成立由乙方组建,甲方派员监督的指纹识别产品项目部,其权限为负责本项目产品的生产、销售及售后服务。项目部设立独立帐户,实行独立核算。 4.知识产权的使用 4.1本项产品的生产、销售可使用乙方提供的中文:××;英文:的注册商标。也可以使用甲方所提供的商标及冠名。

5.权益平衡 自本协议签定之日起,乙方不得独立或以任何方式与第三方合作生产、销售指纹识别门禁系统产品。 甲方从合作之日起,按月向乙方提供资金万元,持续时间不超过个月,且该资金纳入本项目产品的生产总成本。 6.甲方职责 6.1提供本合同项目生产、销售及售后服务的所需资金,前期投入不低于人民币万元(以后根据市情况待定)的基本运作资金,在本协议签定生效后的十个工作日内存入开列的指纹产品项目部的独立帐户内,实行专款专用,保证该资金的投放与生产、销售及售后服务的进程同步,并即时派出财务人员管理并建立独立的帐目,及时制作月报及年报等财务文件交双方备案。 6.2提供本项目产品必需的生产场地(-标准厂房)。 6.3监督本项目产品的生产、销售及售后服务的全过程。 6.4与乙方共同制定项目产品的销售计划、共同进行本项目产品的销售及售后服

指纹识别系统

指纹识别系统 1.1 指纹识别系统原理 指纹识别系统的组成原理。如图1-1所示。图中的学习模块负责采集用户指纹数据,对指纹图像进行预处理,提取这些指纹的特征,作为将来的比对模板存人数据库。而识别模块则负责采集和处理指纹图像,在提取特征后与数据库中的指纹模板进行比对,然后判断是否匹配.得出结论。整个系统的核心就是图像处理、特征提取以及指纹比对。 图1-1 1.2 指纹采集与指纹图像处理方法 目前,主要的指纹采集方法有两种:一种是光学采集器;另一种是用半导体传感器。光学采集器采集指纹是通过把手指沾上油墨后按在白纸上,然后用摄像机把图像转换为电信号。光学采集受外界干扰小、采集精度较高,但是数据量较大,因此处理时问较长。而对于半导体传感器来说,手指的温度、湿度对其测量结果有影响,但是数据量不大,处理比较方便。随着半导体技术的发展,半导体传感器的成本低、体积小、方便集成等优点逐步体现,它已逐步代替光学采集器。指纹鉴定过程的第一个阶段是指纹图像的采集阶段,也就是指纹模板的录A阶段。为了初步确定图像预处理方法,我们必须首先了解指纹传感器获得的图像的尺寸和质量。根据不同的指纹传感器,我们设计不同的方案进行图像采集,并将从各个图中提出特征点储存到数据库中,来产生“活模板”,为后面的指纹鉴定做准备。 指纹图像处理是整个指纹识别过程的核心。常见的指纹图像处理包括滤波增强、二值化、细化、提取特征点四个步骤。在采集指纹图像的过程中,由于采集环境,皮肤表面的性质,采集设备的差异等各种因素的影响,采集的图像会不同程度的受到各种噪声的干扰,从而影响了采集图像的质量。所以实际的指纹图像首先通过一个滤波增强来改善图像的质量,恢复

FPGA实训报告——简易数字钟

桂林电子科技大学职业技术学院 课题:FPGA实训 专业:电子信息工程技术 学号: 姓名:

目录 关键词: (1) 引言: (1) 设计要求: (1) EDA技术介绍: (1) Verilog HDL简介: (1) 方案实现: (2) 工作原理: (2) 总结: (3) 结语: (3) 程序设计: (4)

数字钟 关键词:EDA、Verilog HDL、数字钟 引言: 硬件描述语言HDL(Hardware Des-cription Language)是一种用形式化方法来描述数字电路和系统的语言。目前,电子系统向集成化、大规模和高速等方向发展,以硬件描述语言和逻辑综合为基础的自顶向下的电路设计发放在业界得到迅猛发展,HDL在硬件设计领域的地位将与C和C++在软件设计领域的地位一样,在大规模数字系统的设计中它将逐步取代传统的逻辑状态表和逻辑电路图等硬件描述方法,而成为主要的硬件描述工具。 Verilog HDL是工业和学术界的硬件设计者所使用的两种主要的HDL之一,另外一种是VHDL。现在它们都已经成为IEEE标准。两者各有特点,但Verilog HDL拥有更悠久的历史、更广泛的设计群体,资源也远比VHDL丰富,且非常容易学习掌握。 此次以Verilog HDL语言为手段,设计了多功能数字钟,其代码具有良好的可读性和易理解性。 设计要求: 数字钟模块、动态显示模块、调时模块、到点报时模块等;必须有键防抖动功能。可自行设计8位共阴数码管显示;亦可用FPGA实验平台EDK-3SAISE上的4位数管,但必须有秒指导灯。 EDA技术介绍: 20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 Verilog HDL简介: 硬件描述语言Verilog是Philip R.Moorby于1983年在英格兰阿克顿市的Gateway Design Automation硬件描述语言公司设计出来的,用于从开关级到算法级的多个抽象设

指纹识别系统设计

指纹识别系统设计题目:指纹识别系统设计 专业:电气工程及其自动化 学生姓名:陈 指导教师:黄

摘要 指纹作为人体的重要特征具有长期不变性和唯一性已经成为生物识别领域的重要手段通过指纹特征来鉴别人的身份的技术正在得到越来越广泛的应用随着指纹检测技术和指纹识别算法的不断改进指纹识别技术还将在越来越多的部门得到更广泛的应用。针对指纹的唯一性和终身不变性的特点.提出了一种基于FPS200固态指纹传感器和TMS320VC5402 DSP 芯片的快速指纹识别系统,促使指纹识别设备向小型化、嵌入式、自动化方向发展;对系统的组成原理、指纹采集和指纹图像处理力法进行了分析;结合FPS200和TMS320VC5402芯片的特性,对系统硬件核心和图像采集电路做了详细介绍,并给出系统硬件设计方案、软件设计流程;实验结果表明.系统指纹采集效率高,识别速度快,识别结果准确可靠;该系统性能稳定.实用性强,应用范围广泛。 关键词:指纹识别;TMS320VC5402;DSP;指纹采集;图像处理

Abstract As the uniqueness and constancy of fingerprint ,a quick fingerprint recognition system based on fingerprint sensor FPS200 and DSP chip TMS320VC5402 is presented. The composing principles of the system , fingerprint collection and fingerprint image processing methods are introduced particular .with the characteristics of FPS200 TMS320VC5402 ,the core of the hardware collecting circuit and the designs of the hardware and software are introduced in details. The results of experiments indicated that this system works with great fingerprint collection efficiency, high recognition speed and credible recognition results because of the stead performance and practicability the system will have wide application area .

Verilog HDL数字时钟课程设计

课程设计报告 课程设计名称:EDA课程设计课程名称:数字时钟 二级学院:信息工程学院 专业:通信工程 班级:12通信1班 学号:1200304126 姓名:@#$% 成绩: 指导老师:方振汉 年月日

目录 第一部分 EDA技术的仿真 (3) 1奇偶校验器 (3) 1.1奇偶校验器的基本要求 (3) 1.2奇偶校验器的原理 (3) 1.3奇偶校验器的源代码及其仿真波形 (3) 28选1数据选择器 (4) 2.18选1数据选择器的基本要求 (4) 2.28选1数据选择器的原理 (4) 2.38选1数据选择器的源代码及其仿真波形 (5) 34位数值比较器 (6) 3.14位数值比较器的基本要求 (6) 3.24位数值比较器的原理 (6) 3.34位数值比较器的源代码及其仿真波形 (7) 第二部分 EDA技术的综合设计与仿真(数字时钟) (8) 1概述 (8) 2数字时钟的基本要求 (9) 3数字时钟的设计思路 (9) 3.1数字时钟的理论原理 (9) 3.2数字时钟的原理框图 (10) 4模块各功能的设计 (10) 4.1分频模块 (10) 4.2计数模块(分秒/小时) (11) 4.3数码管及显示模块 (13) 5系统仿真设计及波形图........................... 错误!未定义书签。5 5.1芯片引脚图.................................... 错误!未定义书签。5 5.2数字时钟仿真及验证结果 (16) 5.3数字时钟完整主程序 (17) 6课程设计小结 (23) 7心得与体会 (23) 参考文献 (24)

基于指纹识别的门禁系统设计

基于指纹识别的门禁系统设计 摘要:为了更好地服务于实验室管理,提高高校实验室的智能化管理,设计并实现 了一种采用嵌入式技术的基于单片机的指纹门禁系统,给实验室管理者和学生提 供了便利。 关键词:指纹识别;门禁系统;嵌入式技术;单片机 随着社会的进步,人们安全意识的提高,高安全的门禁系统成为社会工作、生活环境中重要的环节。但传统的门禁系统由于鉴别方式、速度和性能等方面的限制, 很难满足安全可靠和网络化的控制需求。同时,随着识别技术和网络技术的飞速 发展,门禁系统也得到了飞跃式的发展,出现了基于指纹识别的门禁系统。这种系 统具有安全性、方便性和易管理性等特点。对于高校而言,实验室是教师科研、 学生科学实验的重要场所,承担实践能力、创新能力和工程应用能力培养的重要 任务。为提高高校实验室的智能化管理,开发设计一种指纹门禁系统,下面将对本 系统的设计以及实现进行介绍。 1.系统方案设计 系统由控制模块、指纹传感器模块、显示模块、电磁锁控制模块和报警模块 等组成.控制模块选用的是STC 公司生产的基于MCS-51 内核的8 位微控制器 STC89C52,指纹传感器模块选用的是FPM10A 光学指纹传感器采集及识别指纹信息,显示模块用于实时显示系统的状态信息,电磁锁控制模块用于控制门的开关 状态,报警模块则用于提示管理员门禁系统故障或者无关人员企图进入限制区域,系统结构框图如图1 所示 图1 系统结构框图 2.系统硬件电路设计 2.1 系统电路原理图设计 系统选用的控制器是宏晶科技推出的新一代具备高速、低功耗和超强抗干扰 特点的STC89C52单片机,是系统的控制核心.根据系统工作需要设计的外围电路 有时钟电路、复位电路、指纹模块电路、报警电路、液晶显示电路和控制电路等 部分组成. 2.2 FPM10A 光学指纹传感器 光学指纹传感器是利用光的折射和反射原理,通过CMOS 或者CCD 的光学器 件采集到不同明暗程度的图片信息,完成指纹数据的采集[1].本系统选用的光学指纹传感器模块的型号是FPM10A,该传感器模块有VCC(接3.6V-5.5V)、GND (电源地)、TXD、RXD 和NC 等五个端口,采用半双工异步串行通讯,TXD 为串 口的数据发送端,RXD 为串口的接收端,其默认波特率为57600bps,也可根据实 际需要通过命令设置为9600~115200bps. 传感器模块的TXD 和RXD 端口分别与STC89C52 单片机的P30 (RXD)和P31(TXD)相连进行数据传输,传输的帧格式如图2 所示. 图2 FPM10A 传输的帧格式 2.3 电磁锁 电磁锁是利用电生磁的工作原理,当有电流通过硅钢片时会产生强大的磁力 吸附固定在门框或墙体的铁板从而实现锁门的效果[2].当门禁系统识别到有进入实验室权限的人员时电磁锁电源断开,即可进入实验室,否则无法进入实验室.本系

基于MATLAB的指纹识别系统设计

基于MATLAB的指纹识别系统设计

摘要 生物识别技术已经成为身份识别和网络安全的发展技术之一,其中指纹识别技术是目前公认的安全,准确,方便的身份认证技术之一,使之成为人们研究的热点。 本文主要设计一个基于matlab 的指纹识别系统。首先主要介绍了指纹识别技术研究的背景,意义,及现状。其次,实现了指纹识别系统,描述了指纹识别系统的基本结构,并且对指纹图像的预处理、特征提取、特征匹配这三个必要的环节的算法进行了详细地研究,在指纹图像预处理阶段,本文使用基于灰度的算法对图像进行了分割,同时,针对二值化后图像中仍存在的噪声,也进行了相应的修整处理,尽可能的为以后指纹特征的提取打好基础,从而成功地实现了对指纹数字图像的处理、特征提取、保存和匹配等功能。最后,对指纹识别系统进行了仿真,仿真结果表明该系统可以较好的进行识别,准确率达到了95.1%。 关键词:指纹识别;预处理;二值化;特征提取;特征匹配

Abstract Biometric technology has become one of the developing technologies for identity recognition and network security. And fingerprint identification technology is now recognized as one of the most safe, accurate and convenient authentication technologies, and it is a focus for researchers. This paper designs a matlab-based fingerprint recognition system. The first introduces the fingerprint recognition technology research background, significance, and the status quo. Secondly, to achieve fingerprint identification system, describes the basic structure of the fingerprint identification system, and the fingerprint image preprocessing, feature extraction, feature matching these three essential aspects of the algorithm is studied in detail in the fingerprint image pre-processing stage this article uses an algorithm based on gray image segmentation carried out at the same time, for the image after binarization noise still exists, but also for the corresponding trimming process, as much as possible for the future lay the foundation for fingerprint feature extraction and thus successfully achieved fingerprint digital image processing, feature extraction, storage and matching functions. Finally, the fingerprint identification system for simulation, simulation results show that the system can identify a better accuracy rate reached 95.1%. Key Words:Fingerprint Recognition;Processing;Binarization;Feature Extraction;Feature Matching

指纹识别门禁系统的设计与实现

目录 摘要 .............................................................. I II ABSTRACT ........................................................... I V 第一章绪论 ........................................................ 1 1.1 论文的背景及意义............................................ 1 1.2 识别技术简介................................................ 2 1.2.1 指纹特点 .............................................. 2 1.2.2 指纹特征 .............................................. 2 1.2.3 指纹应用系统简介...................................... 2 1.2.4 指纹取像技术及其特点.................................. 3第二章指纹门禁系统的总体设计 ...................................... 5 2.1 系统功能.................................................... 5 2.2 系统性能指标................................................ 5 2.3 系统硬件结构................................................ 6 2.4 系统软件结构................................................ 7第三章指纹门禁系统的硬件设计 ...................................... 9 3.1 SPCE061A单片机介绍 ......................................... 9 3.1.1 SPCE061A单片机的主要性能.............................. 9 3.1.2 指纹识别模块OM-20的管脚说明及性能指标................ 9 3.1.3 SPCE061A单片机与指纹识别模块OM-20的接口电路设计... 10 3.2 SPCE061A单片机与液晶显示模块SPLC501的接口............... 11第四章指纹门禁系统的软件设计 .................................... 13 4.1 指纹处理模块.............................................. 13 4.1.1 指纹识别模块OM-20通讯协议.......................... 13 4.1.2 登记指纹模板程序设计................................ 13 4.1.3 删除指纹模板程序设计................................ 14 4.1.4 清空指纹模板程序设计................................ 14 4.2 系统主程序设计............................................ 15 4.3 指纹开门程序设计.......................................... 15

FPGA课程设计多功能数字钟讲解

多功能数字钟 开课学期:2014—2015 学年第二学期课程名称:FPGA课程设计 学院:信息科学与工程学院 专业:集成电路设计与集成系统班级: 学号: 姓名: 任课教师: 2015 年7 月21 日

说明 一、论文书写要求与说明 1.严格按照模板进行书写。自己可以自行修改标题的题目 2.关于字体: a)题目:三号黑体加粗。 b)正文:小四号宋体,行距为1.25倍。 3.严禁抄袭和雷同,一经发现,成绩即判定为不及格!!! 二、设计提交说明 1.设计需要提交“电子稿”和“打印稿”; 2.“打印稿”包括封面、说明(即本页内容)、设计内容三部分;订书机左边装订。 3.“电子稿”上交:文件名为“FPGA课程设计报告-班级-学号-姓名.doc”,所有报告发送给班长,由班长统一打包后统一发送到付小倩老师。 4.“打印稿”由班长收齐后交到:12教305办公室; 5.上交截止日期:2015年7月31日17:00之前。

第一章绪论 (3) 关键词:FPGA,数字钟 (3) 第二章FPGA的相关介绍 (4) 2.1 FPGA概述 (4) 2.2 FPGA特点 (4) 2.3 FPGA设计注意 (5) 第三章Quartus II与Verilog HDL相关介绍 (7) 3.1 Quartus II (7) 3.2 Verilog HDL (7) 第四章设计方案 (8) 4.1数字钟的工作原理 (8) 4.2 按键消抖 (8) 4.3时钟复位 (8) 4.4时钟校时 (8) 4.5数码管显示模块。 (8) 第五章方案实现与验证 (9) 5.1产生秒脉冲 (9) 5.2秒个位进位 (9) 5.3按键消抖 (9) 5.4复位按键设置 (10) 5.5 数码管显示。 (10) 5.6 RTL结构总图 (11) 第六章实验总结 (14) 第七章Verilog HDL源代码附录 (15)

毕业设计(论文)-基于51单片机的指纹识别

随着现代化各种科学新技术的快速发展,在日常生活中,我们需要各种身份认证和各种密码认证,还有对各种设备配备钥匙,对保险柜安装防盗系统等等,社会的进步,科技的发展,促使传统的安全系统的抵御能力越来越薄弱。因此,生物特征识别应用而生,开始走进我们身边的各种安全系统,指纹识别作为生物特征识别的一个典型应用已经得到很广泛的应用和认可,指纹特征具有唯一性,是每个人终生不变的特征之一,并且各个人的各个指纹都不一样。本系统采用89C52RC单片机作为主芯片,通过与指纹识别模块FM-180之间通过串口通信方式的通信,采用液晶12864作为显示器,加上简单的外围电路,如按键输入、LED灯报警电路、蜂鸣器电路,最后通过编写软件和制作硬件,实现一个可以通过单片机对指纹的录入,识别,删除等功能操作的指纹识别系统。 关键词指纹识别系统;单片机89C52;液晶12864

With the rapid development of modern science a variety of new technologies, in everyday life, we need a variety of authentication and a variety of password authentication, as well as a variety of devices with keys for the safe installation of security systems, etc., social progress the development of technology, to promote the traditional security system resilience increasingly weak. Thus, biometric applications, born around us began to enter various security systems, biometric fingerprint identification as a typical application has been very widely used and recognized, unique fingerprint characteristics, life is not for everyone one variable characteristics, and each person's fingerprints are not the same individual. The system uses 89C52RC microcontroller as the main chip, it passes between the fingerprint recognition module FM-180 serial communication with the communication method by using a liquid crystal display as 12864, plus simple peripheral circuits, such as key input, LED light alarm circuit, buzzer circuit, and finally through the preparation and production of software, hardware, you can implement a microcontroller on the fingerprint input, recognition, and delete functions operate fingerprint identification system. Key words Fingerprint identification system;SCM 89C52;LCD 12864

相关文档
最新文档