多功能信号发生器

多功能信号发生器
多功能信号发生器

电子技术课程设计题目:多功能信号发生器

院系:xxxxxxxxx

专业:xxxxxxxxxx

班级:xxxxxxxxxxxxxx

学号:xxxxxxxxxxxxxxxxxxxxxx

姓名: xxxxxxxxxxx

指导老师:xxxxxxxxxx

日期:2012年12月21日

目录

一.课程设计的目的...............................................................................

二.课程设计任务书...............................................................................

三.时间进度安排....................................................................................

1. 方案选择及电路工作原理...........................................................

2. 单元电路设计计算、电路图及软件仿真........................................

3. 安装、调试并解决遇到的问题.......................................................

4. 电路性能指标测试............................................................................

5. 写出课程设计报告书........................................................................

四.总体方案............................................................................................

五.电路设计............................................................................................

1.8038原理和LM318的原理..............................................................

2.性能、特点及引脚............................................................................

3.电路设计的原理.............................................................................

4.振动频率及参数计算........................................................................

六.电路调试............................................................................................

七.收获和体会.......................................................................................

一课程设计的目的

通过对多功能信号发生器的电路设计,掌握信号发生器的设计方法和测试技术,了解了8038的工作原理和应用,其内部组成原理,用模拟器件模拟电路,对电路的焊接、检测,从中发现问题并解决问题,提高自己对所学的模电、数电、电路等知识的理解以及综合应用,得以在实际操作当中灵活应用,设计并制作信号发生器能够提高自己的动手能力,积累一定的操作经验。在对电路焊接的途中,对一些问题的解决能够提高自己操作能力。

整个信号发生器的制作过程是对自己学习的一个综合测试和巩固,由于课本上的只是太多,平时可见的学习并不能很好地理解和应用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,对于其在电路中的使用有了更多的认识。平时看课本时,有时问题老是弄不懂,做完设计,哪些问题就迎刃而解了。而且还可以记住很多东西。比如一些芯片的功能,平时看课本,这次看了,下次就忘了,通过动手实践让我们对各个元件印象深刻。

学会了安装和调试分力元件与集成电路组成的多级电子电路小系统,对我们来说,信号发生器的设计是我们掌握并巩固所学的知识,提高自己动手能力的一个重要的途径,同时也有利于我们今后的发展,让自己了解的更多。

二、课程设计任务书系:xxxx专业:xxxx

三、时间进度安排

时间进度安排(第10周~第15周)

(1)、方案选择及电路工作原理(第10周)

(2)、单元电路设计计算、电路图及软件仿真(第11周)(3)、安装、调试并解决遇到的问题(第12、13周)(4)、电路性能指标测试(第14周)

(5)、写出课程设计报告书(第15周)

四、总体方案

由文氏电桥产生正弦振荡,然后通过比较器得到方波,方波积分可得三角波。

这一方案为一开环电路,结构简单,产生的正弦波和方波的波形失真度较小。但是对于三角波的产生则有一定的麻烦,因为要有1000倍的频率覆盖系数,显然对于1000倍的频率变化会有积分时间dt的1000倍变化从而导致输出电压振幅的1000倍变化。而这是电路所不希望的。幅度稳定性难以达到要求。而且通过仿真实验会发现积分器极易产生失调。

多功能信号发生器已被制作成专用集成电路,该集成电路使用方便,调试简单,性能稳定,它不仅能产生正玄波,同时还能产生三角波和方波.5G8038就是其中一种。它只需要外接很少的几只元件就能实现一个多种波形输出的信号发生器。该器件产生频率的温度漂移小于50×10-6/℃;正弦波输出失真度小于1%;输出频率范围在

0.01HZ~300KHZ;方波输出电压幅度为零到外接电源电压。

综合考虑以上情况,我决定采用第二种方案,不仅可以很好的产生波形,还能提高自己的动手能力,提高自己对所学知识的灵活应用。而且第二种有点还是比较明显的:

(1)线性良好、稳定性好;

(2)频率易调,在几个数量级的频带范围内,可以方便的连续地改变频率,而且频率改变时,幅度恒定不变;

(3)不存在如文氏电桥那样的过渡过程,接通电源后会立即产

生稳定的波形;

(4)三角波和方波在半周期内是时间的线性函数,易于变换其它波形。

五电路设计

(1)8038的原理

ICL8038 是单片集成函数信号发生器,其内部框图如右图所示。它由恒流源I1和 I2、电压比较器A和B、触发器、缓冲期和三角波变正弦波电路等组成。

ICL8038内部框图

外接电容C由两个恒流源充电和放电,振荡电容C由外部接入,它是由内部两个恒流源来完成充电放电过程。恒流源2的工作状态是由恒流源1对电容器C连续充电,增加电容电压,从而改变比较器的输入电平,比较器的状态改变,带动触发器翻转来连续控制的。当触发器的状态使恒流源2处于关闭状态,电容电压达到比较器1输入电压规定值的2/3倍时,比较器1状态改变,使触发器工作状态发生翻转,将模拟开关K由B点接到A点。由于恒流源2的工作电流值为2I,是恒流源1的2倍,电容器处于放电状态,在单位时间内电容器端电压将线性下降,当电容电压下

降到比较器2的输入电压规定值的1/3倍时,比较器2状态改变,使触发器又翻转回到原来的状态,这样周期性的循环,完成振荡过程。

脚1、12(Sine Wave Adjust):正弦波失真度调节;

脚2(Sine Wave Out):正弦波输出;

脚3(Triangle Out):三角波输出;

脚4、5(Duty Cycle Frequency):方波的占空比调节、正弦波和三角波的对称调节;

脚6(V+):正电源±10V~±18V;

脚7(FM Bias):内部频率调节偏置电压输入;

脚8(FM Sweep):外部扫描频率电压输入;

脚9(Square Wave Out):方波输出,为开路结构;

脚10(Timing Capacitor):外接振荡电容;

脚11(V- or GND):负电原或地;

脚13、14(NC):空脚。

运算放大器LM318的原理框图如下所示,

(2)、性能特点及引脚

LM318的引脚排布

LM318原理LM318是一个精密、高速度、高增益,专门设计用于高转换速率和宽的带宽应用程序。不像许多宽带放大器、LM318是单位增益稳定,因为它有内部电路频率补偿。然而,外部组件可以添加补偿,实现最佳的性能。当用于反相应用程序、前馈补偿可以用来实现转换速度超过150V /μs和几乎两倍的带宽。对于更大的稳定性,使用过度补偿与放大器是可能的,如果不需要最大带宽。一般而言,通过添加一个电容器可以减少沉降时间为0.1%,低于1μs误差范围。典型的应用程序的LM318包括A / D转换器、快速积分器、振荡器、有源滤波器电路、采样和持有,或通用放大器。

特性

*单位增益稳定(内部频率补偿)

*4mv典型输入偏移电压

(3)、电路设计

(4)、振动频率及参数设计

振荡频率计算:调节5G8038输出频率的方法有两种,一是改变电阻的大小;另一种是控制电压的大小。在该电路中,

5G8038的8脚与7脚相连,其振荡频率由下式决定:

f=0.6/R1C[1+R2/(2R1-R2)],矩形波的占空比为:

D=(1-R2/2R1)*100%。当R1=R2=R时,9脚输出对称的方波,3脚输出三角波,2脚输出正弦波。这时输出信号频率为:0.3/RC,为了保证流过4脚和5脚的电流相等,产生良好的正弦波和三角波,一般将4、5脚短路,然后经一只公用电阻R接到正电

源Vcc上,此时振荡频率为:f=0.15/RC,可见,改变电阻R

的大小,即可调节函数发生器的输出频率。

电路参数设计:为了使振荡信号获得最佳的特性,流过

5G8038集成电路的4脚和5脚的电流不能过大或过小。若电流过大,会使三角波的线性变坏,从而会导致正弦波失真度增大;若过小则电容的漏电流影响变大。最佳的电流为1μA~1mA。电阻R满足下列关系:R=V cc/10I,若选择V cc=12V,则电阻R最佳的变化范围为1.2kΩ~1200kΩ。因为f=0.15/RC,取C1=4700Pf,当f max=20KHz时,R min=0.15/f max C1=1.59(kΩ),取R min=R1=1.5kΩ。现选择一电位器R P1与R1相串联,取R P1=56 kΩ。当电位器R P1+ R1最大时,振荡频率R min=0.15/( R P1+ R1)f=0.13(μF)。取

C2=0.15μF。当调节R P1=0时,f=0.15/R1C2=666(Hz)。所以当转换开关K接入不同电容时,调节电位器R P1,输出振荡频率分别为17Hz~666Hz和555Hz~21kHz。

为了提高信号源的带负载能力,可使三角波、正弦波信号经由LM318高速运算放大器放大后输出。调节R P3、R P4,可调节信号输出幅度。

六、电路调试(要详细说明,包括电源连接情况、怎样通电、先调试、后调试、频率调试、幅度调试、波形不稳定调试—)(1)、调试电路中用到的仪器

万用表:它可以测量交直流电压、交直流电源、电阻、电容,具有精度高,使用方便,应用广泛等特点。

示波器:示波器可以对对电路中的各点电位进行测量和观察波

形,同时可比较任意两点波形的相位关系,具有高的灵敏度、

高的交流阻抗、对负载影响小等特点。在使用示波器时应注意

所用示波器的频带一定要大于被测信号的频率。

(2)、调试电路前的检查

电路安装完毕后,不要急于通电,首先要根据电路原理图认真

检查电路接线是否正确。主要检查电源、地线、信号线、元器

件引脚之间有无短路,连线有无接触不良,元器件有无漏焊。

(3)、调试步骤

首先打开示波器,先调整示波器使其稳定,将两个12V的电源正极和负极分别接到电路的正负极,相应的两个正负极分

别接地,然后将示波器的探头接到LM318的6引脚引出来的输

出端,地线接地。然后拨动开关,示波器会相应出现不同的波

形,如果显示的波形很不稳定,频率不合适,应该首先调整Rp1,使其频率合适,如果图形失真的话,调整Rp2,可以改善失真

的情况,波形幅度的调节则需要对Rp3和Rp4的共同调节,使

幅度大小合适。

(4)仿真图

正弦波

三角波

方波

正弦波

三角波

方波

七收获和体会

这学期的电子电路设计课程结束了,多功能信号发生器已经做出来了,以前我觉得这样的东西做起来不会麻烦,只要找到资料就会简单,但是当我真正做起来的时候才发现还是存在好多的问题。比如说

在开始调试时,示波器上没有波,弄了半天原来是电路有条线没有连,

还有把三角波,方波转换成正弦波时,我也弄了很长时间,图形不清晰,要不是失真很严重,最后查了大量的资料才找到相关的,终于顺利调来

通过这次的实践,让我体会到了理论与实践相结合的重要性,如果只注重理论学习而不去锻炼自己的实践经验,你是永远不能把事情做好的,从理论中的出结论,用实践锻炼自己,这才是最重要的,这次还让我学到了许多书本上没有的知识,使我的视野更加的开阔,提升我独立思考的能力,总之,这次的课程设计让我学到了很多。

函数信号发生器功能函数信号发生器怎么用

函数信号发生器功能-函数信号发生器怎么用

————————————————————————————————作者:————————————————————————————————日期:

函数信号发生器功能,函数信号发生器怎么用函数信号发生器是一种信号发生装置,能直接产生正弦波、三角波、方波、斜波、脉冲波,波形对称可调并具有反向输出,直流电平可连续调节。频率范围可从几个微赫到几十兆赫,由0.1Hz~2MHz分七个频率档,各档级之间有很宽的覆盖度,频率段、频率值、波形选择均由LCD显示。信号的最大幅度可达20Vp-p。脉冲的占空比系数由10%~90%连续可调,五种信号均可加±10V的直流偏置电压。并具有TTL电平的同步信号输出,脉冲信号反向及输出幅度衰减等多种功能。除此以外,能外接计数输入,作频率计数器使用,其频率范围从10Hz~10MHz。计数频率等功能信息均由LCD显示,发光二极管指示计数闸门、占空比、直流偏置、电源。读数直观、方便、准确。电压用LED显示。还具有VCF输入控制功能。 一、面板说明见下图

面板说明 序 号 面板标志名称作用 1 电源电源开关按下开关,电源接通,电源指示灯亮 2 波形波形选择1、输出波形选择 2、与1 3、19配合使用可得到正负相锯齿

波和脉冲波 3 频率频率选择开关频率选择开关与“9”配合选择工作频率外测频率时选择闸门时间 4 Hz 频率单位指示频率单位,灯亮有效 5 KHz 频率单位指示频率单位,灯亮有效 6 闸门闸门显示此灯闪烁,说明频率计正在工作 7 溢出频率溢出显示当频率超过5个LED所显示范围时灯亮 8 频率LED 所有内部产生频率或外测时的频率均由此5个LED显示 9 频率调节频率调节与“3”配合选择工作频率 1 0 直流/拉 出 直流偏置调节 输出 拉出此旋钮可设定任何波形的直流工作 点,顺时针方向为正,逆时针方向为负 1 1 压控输入压控信号输入外接电压控制频率输入端 1 2 TTL输出TTL输出输出波形为TTL脉冲,可做同步信号 1 3 幅度调节 反向/拉 出 斜波倒置开关 幅度调节旋钮 1、与“19”配合使用,拉出时波形反向 2、调节输出幅度大小 1 4 50Ω输出信号输出主信号波形由此输出,阻抗为50Ω 1衰减输出衰减按下按键可产生-20dB/-40dB衰减

EDA课程设计——函数信号发生器

EDA课程设计——函数信号发生器 实验报告 学院(系) 专业、班级 学生姓名 学号 小组其他队员: 指导教师

(1)实验要求 (2)总体设计思路 (3)程序仿真 (4)实验结果 (5)心得体会 一.实验要求 (1)利用VHDL语言设计一个多功能信号发生器,可以产生正弦波,三角波,锯齿波和方波的数字信号。

(2)焊接一个D/A转换器,对输出的数字信号转换成模拟信号并在示波器上产生波形。 (3)在电路板上可以对波形进行选择输出。 (4)在电路板上可以对波形的频率与幅度进行调节。 二.总体设计思路 信号发生器主要由分频,波形数据的产生,四选一多路选择,调幅和D/A转换五个部分组成。 总体框架图如下: (1)分频 分频器是数字电路中最常用的电路之一,在FPGA的设计中也是使用效率非常高的基本设计。实现的分频电路一般有两种方法:一是使用FPGA芯片内部提供的锁相环电路,如ALTERA提供的PLL(Phase Locked Loop),Xilinx提供的DLL(Delay Locked Loop);二是使用硬件描述语言,如

VHDL、Verilog HDL等。本次我们使用VHDL进行分频器设计,将奇数分频,和偶数分频结合起来,可以实现50%占空比任意正整数的分频。 分频器原理图: 在我们本次试验中的实现即为当按下按键时,频率自动减半。如当输入为100MHZ,输出为50MHZ。 (2)信号的产生。 根据查找资料,我们最终确定了在QUARTUS中波形数据产生的方法,即利用地址信号发生器和LPM_ROM模块。ROM 的地址信号发生器,有七位计数器担任。LPM_ROM底层是FPGA 中的M4K等模块。然后在VHDL顶层程序设计中将两部分调用从而实现信号的发生。ROM中存放不同的初始化MIF文件(存放不同波形的数据)从而产生不同的波形。 信号产生模块:

开题报告(简易多功能信号发生器)

枣庄学院 本科生毕业设计(论文) 开题报告 (20**届) 简易多功能信号发生器的电路设计 姓名:*** 学号:20080613**** 专业:过程装备与控制工程 班级:2008级本科(*)班 学院:机电工程学院 指导老师:** 20**年2月25日

一、研究的目的与意义 信号发生器作为科学实验必不可少的装置,被广泛地应用到教学、科研等各个领域。高等学校特别是理工科的教学、科研需要大量的仪器设备,例如信号源、示波器等,常用仪器都必须配置多套,但是有些仪器设备价格昂贵,如果按照传统模式新建或者改造实验室投资巨大,造成许多学校仪器设备缺乏或过时陈旧,严重影响教学科研。如果运用虚拟仪器技术构建系统,代替常规仪器、仪表,不但可以满足实验教学的需要、节约大量的经费、降低实验室建设的成本,而且能够提高教学科研的质量与效率。目前我国经济开始进入一个新的发展时期,经济的快速发展将加快企业的技术改造步伐,各行业特别是电子、通信行业对先进任意波发生器的需求更加强劲。高档台式仪器加工工艺复杂,对制造水平要求高,生产突破有困难,而采用虚拟技术后,就可通过只采购适合自己应用情况的通用仪器硬件,依靠虚拟仪器软件开发平台,设计出所需的高性能价格比的仪器系统。虚拟仪器是在以通用计算机为核心的硬件平台上,由用户自己设计定义,具有虚拟面板,测试功能由测试软件实现的一种计算机仪器系统。虚拟仪器是以计算机为基础,配以相应测试功能的硬件作为信号输入输出的接口,利用虚拟仪器软件开发平台在计算机的屏幕上虚拟出仪器的面板,人们通过鼠标或键盘操作虚拟仪器面板上的旋钮、开关和按键,去选用仪器功能,设置各种工作参数,启动或停止一台仪器的工作。它能够实现普通仪器的全部功能,如示波器、逻辑分析仪、信号发生器、频谱分析仪等,也能够实现一些在普通仪器上无法实现的功能。这种方式不但让用户享用到通用 PC 机不断升级的性能,还可体会到完全自定义的测量系统功能的灵活性,最终构建起满足特定需求的系统。虚拟仪器是测试技术与计算机深层次结合的产物,其实质是利用最新的计算机技术来实现和扩展传统仪器的功能。虚拟仪器应用软件是整个系统的关键。因此,从某种意义上可以说:软件就是仪器。与传统的仪器相比,虚拟仪器更通用、更灵活、更经济,而且更能适应当代科学技术对测量仪器不断提出的更新、扩展功能和性能的要求。 二、国内外的研究状况 波形发生器亦称函数发生器,作为实验用信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。目前,市场上常见的波形发生器多为纯硬件的搭接而成,且波形种类有限,多为锯齿、正弦、方波、三角等波形。信号发生器作为一种常见的应用电子仪器设备,传统的可以完全由硬件电路搭接而成,如采用 555 振荡电路发生正弦波、三角波和方波的电路便是可取的路径之一,不用依靠单片机。但是这种电路存在波形质量差,控制难,可调范围小,电路复杂和体积大等缺点。在科学研究和生产实践中,如工业过程控制,生物医学,地震模拟机械振动等领域常常要用到低频信号源。而由硬件电路构成的低频信号其性能难以令人满意,而且由于低频信号源所需的 RC 很大;大电阻,大电容在制作上有困难,参数的精度亦难以保证;体积大,漏电,损耗显著更是其致命的弱点。一旦工作需求功能有增加,则电路复杂程度会大大增加。 波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器。函数波形发生器具有连续的相位变换、和频率稳定性等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛用于自动控制系统、震动激励、通讯和仪器仪表领域。 在 70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,

多功能信号发生器的设计与实现

题目多功能信号发生器的设计与实现学生姓名王振华学号 1213014069所在学院物理与电信工程学院 专业班级电子信息工程 指导教师梁芳 完成地点物理与电信工程学院实验室 2016 年 6 月 2 日

多功能信号发生器的设计与实现 王振华 (陕西理工学院物理与电信工程学院电子信息工程专业,2012级3班,陕西汉中 723000) 指导教师:梁芳 [摘要]本文介绍的是利用STC12C5A60S2单片机和数模转换器件DAC0832产生所需不同信号的低频信号源,其信号幅度和频率都是可以按要求控制的。文中简要介绍了DAC0832数模转换器的结构原理和使用方法,STC12C5A60S2的基础理论,以及与设计电路有关的各种芯片。着重介绍了如何利用单片机控制D/A转换器产生上述信号的硬件电路和软件编程。信号频率幅度也按要求可调。本设计核心任务是:以STC12C5A60S2为核心,结合D/A转换器和DAC0832等器件,用仿真软件设计硬件电路,用C语言编写驱动程序,以实现程序控制产生正弦波、三角波、方波、三种常用低频信号。可以通过键盘选择波形和输入任意频率值。 [关键词]单片机; LCD1602;信号发生器;DAC0832

Design and implementation of multi function signal generator Author:Zhenhua Wang (Grade 12,Class 03,Major in Electronics & Information engineering ,Physics & Telecommunications engineering Dept., Shaanxi University of Technology,Hanzhong 723000,Shaanxi) Tutor: Fang Liang Abstract:This article describes the STC12C5A60S2 microcontroller and digital to analog converter DAC0832 to produce the desired signal of the low frequency signal source, the signal amplitude and frequency can be controlled as required. The article briefly describes the structure of principles and use of the DAC0832 digital-to-analog converter, the STC12C5A60S2 basic theory and design of circuits a variety of chips. The paper focuses on how to use microcontroller to control the D / A converter to produce the hardware and software programming of the above signals. The signal frequency range is also adjustable as required.The core of the design tasks are: STC12C5A60S2 as the D / A converter and DAC0832 devices, circuit simulation software, design hardware drivers written in C, in order to achieve process control to produce sine wave, triangle wave, square wave, three commonly used low-frequency signals. Waveforms and enter any frequency value can be selected via the keyboard. Key Words:on STC12C5A60S2 function waveform generator DAC0832 square wave, triangle wave, sine wave,sawtooth wave

基于51单片机的函数信号发生器的设计

龙源期刊网 https://www.360docs.net/doc/2117303119.html, 基于51单片机的函数信号发生器的设计 作者:朱兆旭 来源:《数字技术与应用》2017年第02期 摘要:本文所设计的系统是采用AT89C51单片机和D/A转换器件DAC0832产生所需不 同信号的低频信号源,AT89C51 单片机作为主体,采用D/A转换电路、运放电路、按键和LCD液晶显示电路等,按下按键控制生成方波、三角波、正弦波,同时用LCD显示相应的波形,输出波形的周期可以用程序改变,具有线路简单、结构紧凑、性能优越等特点。 关键词:51单片机;模数转换器;信号发生器 中图分类号:TP391 文献标识码:A 文章编号:1007-9416(2017)02-0011-01 1 前言 波形发生器,是一种作为测试用的信号源,是当下很多电子设计要用到的仪器。现如今是科学技术和设备高速智能化发展的科技信息社会,集成电路发展迅猛,集成电路能简单地生成各式各样的波形发生器,将其他信号波形发生器于用集成电路实现的信号波形发生器进行对比,波形质量、幅度和频率稳定性等性能指标,集成电路实现的信号波形发生器都胜过一筹,随着单片机应用技术的不断成长和完善,导致传统控制与检测技术更加快捷方便。 2 系统设计思路 文章基于单片机信号发生器设计,产生正弦波、方波、三角波,连接示波器,将生成的波形显示在示波器上。按照对作品的设计研究,编写程序,来实现各种波形的频率和幅值数值与要求相匹配,然后把该程序导入到程序存储器里面。 当程序运行时,一旦收到外界发出的指令,要求设备输出相应的波形时,设备会调用对应波形发生程序以及中断服务子程序,D/A转换器和运放器随之处理信号,然后设备的端口输出该信号。其中,KEY0为复位键,KEY1的作用是选择频率的步进值,KEY2的作用是增加频 率或增加频率的步进值,KEY3的作用是减小频率或减小频率的步进值,KEY4的作用是选择三种波形。103为可调电阻,用于幅值的调节。自锁开关起到电源开关的作用。启动电源,程序运行的时候,选择正弦波,红色LED灯亮起;选择方波,黄色LED灯亮起;选择三角波,绿色LED灯亮起。函数信号发生器频率最高可达到100Hz,最低可达到10Hz,步进值0.1- 10Hz,幅值最高可到3.5V。系统框图如图1所示。 3 软件设计

基于单片机的多功能信号发生器的系统设计与应用

基于单片机的多功能信号发生器的系统设计与应用 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。 随着集成芯片制造工艺的进一步发展,一些高性能的波形产生专用芯片逐渐被应用到该领域并获得成功。波形发生装置的电路设计得到进一步简化,而与此同时,所产生的波形的质量却得到了显著提高。例如应用比较广泛的DDS芯片AD9833系列,能制作出各种频带宽,质量高的波形信号,例如应用高性能的AD9833芯片,可以做出频率1GHZ以上,频率分辨率0.1HZ以下的优质波形[2]。 科技不断发展,在各个领域对信号产生电路提出了越来越高的要求。以往那些只具有单一优势的波形发生装置的应用越来越受到限制。例如用模拟器件构成的波形发生器电路简单可靠、信号频率较高,但可调节性差;采用数字电路为核心的波形发生装置所产生的信号可调节性好,但电路复杂,而频率又不易做的很高。较为理想的波形发生装置应该同时具备多方面的优良品质,信号的频带应该较宽,而且步进精确。另外,微型化也是信号产生装置的发展趋势之一,这样,才能将信号发生装置方便的嵌入到各种仪器设备中。随着芯片制造工艺的不断提高,性能更高、体积更小的专用信号处理芯片必将会越来越多地应用到信号产生电路中,使更高质量的信号的产生成为可能。 DDS技术的实现,一般有如下几种可选的方案。首先是使用专用的DDS芯片,例如应用比较广泛的DDS芯片AD9833系列。专用DDS芯片性能可靠,特别是在高频领域,有着无可替代的地位。但在中低频领域,专用DDS芯片却不一定是唯一的选择。

简易信号发生器课程设计1

2011~ 2012学年第二学期 《简易信号发生器》 课程设计报告 题目:简易信号发生器设计 专业:电气工程及其自动化 班级:10电气工程本一班 姓名:李鹏、王松、薛冬冬、汤聪、戚传 东、刘欢冯登宇、张正义、、靳垒垒、 杨磊 指导教师:江春红 电气工程系 2012年5月04日

1、任务书

-1- 简易信号发生器设计 摘要 信号发生器是工业生产、产品开发、科学研究等领域必备的工具,它产生的锯齿波和正弦波、矩形波、三角波是常用的基本测试信号。它根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如ICL8038,Max038以及国产的5G8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的。 通过这次设计,我们的理论知识掌握得更扎实,动手能力明显提高。同时,通过网上搜索等多方面的查询资料,我们学到许多在书本上没有的知识,也认识到理论联系实践的重要。理论学得好,但如果只会纸上谈兵,一点用都没有。以后也很难找到工作。通过本次设计能使我们对电子工艺的理论有了更进一步的系统了解。我们了解到了设计小电子产品的一些常规方法,以及培养了我们团队合作的能力,在讨论设计方案,计算元件参数,购买元件,制作电路板,安装调试方面都体会到了团队的力量。 本次课程设计的课题是简易信号发生器,本课程设计将简易信号的工作原理、参数计算、元件选取等做详细的介绍和说明。

+基于FPGA的多功能信号发生器

基于FPGA的多功能信号发生器 一.试验目的: 1.了解GW48-CK综合实验箱结构 2.熟悉VHDL语言 3.了解FPGA芯片(EP1K30TC144-3)结构及引脚 4.了解D/A芯片(DAC0832)结构[ 5.熟悉FPGA设计软件quartus ii 9.0的使用 6.掌握产生三角波,锯齿波,梯形波的原理 7.学会用FPGA设计多功能信号发生器 二.试验仪器及设备: 1.pc机 2.GW48-CK型FPGA综合试验箱 3.FPGA芯片:EP1K30TC144-3 4.D/A芯片:DAC0832 5.示波器 6.quartus ii 9.0仿真软件 三.实验要求: .设计基于FPGA的多功能信号发生器,此信号发生器可产生的波形有:正弦波,方波,三角波,斜升锯齿波,斜降锯齿波,梯形波,阶梯波,双阶梯波中的六种。 设计软件要求用quartus ii,先用该软件仿真,再接上FPGA试验箱,编译,运行并下载到实验箱,用示波器观察期指定输出端波形。 四.试验原理: 1.基于QUASTUS II 9.0平台,利用DDS(直接数字信号合成)技术,采用 VHDL语言,设计一波形信号发生器。首先根据对各波形的幅度进行采样,获得各波形的波形数据表,然后FPGA根据输入的时钟(频率可根据要求可变)作为地址信号,从FPGA数据线上输出相应的波形数据,再送入GW48-CK 实验板上的D/A转换芯片进行转换为模拟信号,最后送入滤波电路滤波后输出。 2.实验整体框图如下:由方波模块(niushengli_fb)、阶梯波模块 (niushengli_jtb)、正弦波模块(niushengli_sin)、三角波模块(niushengli_sjb)、斜升锯齿波模块(niushengli_xsjcb)、斜降锯齿波模块(niushengli_xjjcb)、6选1选择器(niushengli_mux61)以及反向器(not)组成。

什么是函数信号发生器,函数信号发生器的作用,函数信号发生器的工作原理

什么是函数信号发生器,函数信号发生器的作用,函数信号发生器的工作原 理 什么是函数信号发生器?函数信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。 函数信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。 函数信号发生器的工作原理:函数信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。它能够产生多种波形,如三角波、锯齿波、矩形波、正弦波,所以在生产实践和科技领域中有着广泛的应用。 函数信号发生器系统主要由主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器和指示电压表构成。当输入端输入小信号正弦波时,该信号分两路传输,一路完成整流倍压功能,提供工作电源;另一路进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出,输出端为可调电阻。 函数信号发生器产生的各种波形曲线均可以用三角函数方程式来表示,函数信号发生器在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频发射,这里的射频波就是载波,把音频、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。

函数信号发生器使用说明(超级详细)

函数信号发生器使用说明 1-1 SG1651A函数信号发生器使用说明 一、概述 本仪器是一台具有高度稳定性、多功能等特点的函数信号发生器。能直接产生正弦波、三角波、方波、斜波、脉冲波,波形对称可调并具有反向输出,直流电平可连续调节。TTL可与主信号做同步输出。还具有VCF输入控制功能。频率计可做内部频率显示,也可外测1Hz~的信号频率,电压用LED显示。 二、使用说明 面板标志说明及功能见表1和图1 图1 表1 序 面板标志名称作用号 1电源电源开关按下开关,电源接通,电源指示灯亮 2 1、输出波形选择 波形波形选择 2、与1 3、19配合使用可得到正负相锯齿波和脉

DC1641数字函数信号发生器使用说明 一、概述 DC1641使用LCD显示、微处理器(CPU)控制的函数信号发生器,是一种小型的、由集成电路、单片机与半导体管构成的便携式通用函数信号发生器,其函数信号有正弦波、三角波、方波、锯齿波、脉冲五种不同的波形。信号频率可调范围从~2MHz,分七个档级,频率段、频率值、波形选择均由LCD显示。信号的最大幅度可达20Vp-p。脉冲的占空比系数由10%~90%连续可调,五种信号均可加±10V的直流偏置电压。并具有TTL电平的同步信号输出,脉冲信号反向及输出幅度衰减等多种功能。除此以外,能外接计数输入,作频率计数器使用,其频率范围从10Hz~10MHz(50、100MHz[根据用户需要])。计数频率等功能信息均由LCD显示,发光二极管指示计数闸门、占空比、直流偏置、电源。读数直观、方便、准确。 二、技术要求 函数发生器 产生正弦波、三角波、方波、锯齿波和脉冲波。 2.1.1函数信号频率范围和精度 a、频率范围 由~2MHz分七个频率档级LCD显示,各档级之间有很宽的覆盖度, 如下所示: 频率档级频率范围(Hz) 1 ~2 10 1~20 100 10~200

基于STM32的简易信号发生器

绍兴文理学院 数理信息学院 课程设计报告书题目基于STM32的简易信号发生器电子信息工程专业 1班 姓名 xxx 指导教师 xxx 时间 2014年 7月12日

课程设计任务书

基于STM32的简易波形发生器 摘要 函数信号发生器是一种能够产生多种波形,如正弦波、方波、三角波、锯齿波等的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出以上波形的波形发生器。本课题采用STM32[1]为控制芯片,采用DDS[2]的设计方法,可将采样点经D/A[3]转换后输出任意波形,可通过调节D/A转换的频率来调节输出波形的频率,也可通过改变取点的起始位置来调节波形的初始相位。 关键词信号发生器STM32 DDS

目录 课程设计任务书.............................................................................................................................. I 摘要……………………………………………………………………………………………….II 1 设计概述 (1) 2 设计方案 (2) 3 设计实现 (3) 3.1 设计框图及流程图 (3) 3.2 MCU控制模块 (5) 3.3 按键控制模块 (5) 3.4 信号输出模块 (6) 3.5 LCD显示模块 (8) 4 设计验证 (8) 5 总结 (11)

1设计概述 信号发生器作为一种历史悠久的测量仪器,早在20年代电子设备刚出现时就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使得信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。同时还出现了可用来测量脉冲电路或作脉冲调制器的脉冲信号发生器。 自60年代以来信号发生器有了迅速的发展,出现了函数发生器。这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。 自从70年代微处理器出现以后,利用微处理器、模数转换器和数模转换器,硬件和软件使信号发生器的功能扩大,产生比较复杂的波形。这时期的信号发生器多以软件为主,实质是采用微处理器对D/A的程序控制,就可以得到各种简单的波形。 在80年代以后,数字技术日益成熟,信号发生器绝大部分不再使用机械驱动而采用数字电路,从一个频率基准有数字合成电路产生可变频率信号。 90年代末出现了集中真正高性能的函数信号发生器,HP公司推出了型号为HP770S的信号模拟装置系统,它是由HP8770A任意波形数字化和HP1770A波形发生软件组成。 信号发生器技术发展至今,引导技术潮流的仍是国外的几大仪器公司,如日本横河、Agilent、Tektronix等。美国的FLUKE公司的FLUKE-25型函数发生器是现有的测试仪器中最具多样性功能的几种仪器之一,它和频率计数器组合在一起,在任何条件下都可以给出很高的波形质量,能给出低失真的正弦波和三角波,还能给出过冲很小的快沿方波,其最高频率可达到5MHz,最大输出幅度可达到10Vpp。 国内也有不少公司已经有了类似的仪器。如南京盛普仪器科技有限公司的SPF120DDS信号发生器,华高仪器生产的HG1600H型数字合成函数\任意波形信号发生器。国内信号发生器起步晚,但发展至今,已经渐渐跟上国际的脚步,能够利用高新技术开发出达到国际水平的高性能多功能信号发生器。 信号发生器在生产实践和科技领域中有着广泛的应用,各种波形曲线均可用三角函数方程式来表达。函数信号发生器是各种测试和实验过程中不可缺少的工具,在通信、测量 雷达、控制教学等领域应用十分广泛。不论是在生产、科研还是在教学上,信号发生器都是电子工程师信号仿真实验的最佳工具。而且,信号发生器的设计

信号发生器课程设计报告

目录 一、课题名称 (2) 二、内容摘要 (2) 三、设计目的 (2) 四、设计内容及要求 (2) 五、系统方案设计 (3) 六、电路设计及原理分析 (4) 七、电路仿真结果 (7) 八、硬件设计及焊接测试 (8) 九、故障的原因分析及解决方案 (11) 十、课程设计总结及心得体会 (12)

一、课题名称:函数信号发生器的设计 二、内容摘要: 函数信号发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而此次课程设计旨在运用模拟电子技术知识来制作一个能同时输出正弦波、方波、三角波的信号发生器。 三、设计目的: 1、进一步掌握模拟电子技术知识的理论知识,培养工程设计能力和综合分析能力、解决问题的能力。 2、基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力。 3、学会运用Multisim仿真软件对所做出来的理论设计进行仿真测试,并能进一步解决出现的基本问题,不断完善设计。 4、掌握常用元器件的识别和测试,熟悉万用表等常用仪表,了解电路调试的基本方法,提高实际电路的分析操作能力。 5、在仿真结果的基础上,实现实际电路。 四、设计内容及要求: 1、要求完成原理设计并通过Multisim软件仿真部分 (1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围

多功能信号发生器

电子技术课程设计题目:多功能信号发生器 院系:xxxxxxxxx 专业:xxxxxxxxxx 班级:xxxxxxxxxxxxxx 学号:xxxxxxxxxxxxxxxxxxxxxx 姓名: xxxxxxxxxxx 指导老师:xxxxxxxxxx 日期:2012年12月21日

目录 一.课程设计的目的............................................................................... 二.课程设计任务书............................................................................... 三.时间进度安排.................................................................................... 1. 方案选择及电路工作原理........................................................... 2. 单元电路设计计算、电路图及软件仿真........................................ 3. 安装、调试并解决遇到的问题....................................................... 4. 电路性能指标测试............................................................................ 5. 写出课程设计报告书........................................................................ 四.总体方案............................................................................................ 五.电路设计............................................................................................ 1.8038原理和LM318的原理.............................................................. 2.性能、特点及引脚............................................................................ 3.电路设计的原理............................................................................. 4.振动频率及参数计算........................................................................ 六.电路调试............................................................................................ 七.收获和体会.......................................................................................

多功能信号发生器课程设计

《电子技术课程设计》 题目:多功能信号发生器 院系:电子信息工程 专业:xxxxxxxx 班级:xxxxxx 学号:xxxxxxxx 姓名:xxx 指导教师:xxx 时间:xxxx-xx-xx

电子电路设计 ——多功能信号发生器目录 一..课程设计的目的 二课程设计任务书(包括技术指标要求) 三时间进度安排(10周~15周) a.方案选择及电路工作原理; b.单元电路设计计算、电路图及软件仿真; c.安装、调试并解决遇到的问题; d.电路性能指标测试; e.写出课程设计报告书; 四、总体方案 五、电路设计 (1)8038原理, LM318原理, (2)性能\特点及引脚 (3)电路设计,要说明原理 (4)振动频率及参数计算 六电路调试 要详细说明(电源连接情况, 怎样通电\ 先调试后调试,频率调试幅度调试波行不稳调试 七收获和体会

一、课程设计的目的 通过对多功能信号发生器的电路设计,掌握信号发生器的设计方法和测试技术,了解了8038的工作原理和应用,其内部组成原理,设计并制作信号发生器能够提高自己的动手能力,积累一定的操作经验。在对电路焊接的途中,对一些问题的解决能够提高自己操作能力随着集成制造技术的不断发展,多功能信号发射器已经被制作成专用的集成电路。这种集成电路适用方便,调试简单,性能稳定,不仅能产生正弦波,还可以同时产生三角波和方波。它只需要外接很少的几个元件就能实现一个多种波、波形输出的信号发生器。不仅如此,它在工作时产生频率的温度漂移小于50×10-6/℃;正弦波输出失真度小于1%,输出频率范围为0.01Hz~300kHz;方波的输出电压幅度为零到外接电源电压。因此,多功能信号发生器制作的集成电路收到了广泛的应用。 二、课程设计任务书(包括技术指标要求) 任务:设计一个能产生正弦波、方波、三角波以及单脉冲信号发生器。 要求: 1.输出频率为f=20Hz~5kHz的连续可调正弦波、方波和三角波。 2.输出幅度为5V的单脉冲信号。 3.输出正弦波幅度V o= 0~5V可调,波形的非线性失真系数γ≤

函数信号发生器

基于labview的函数信号发生器的设计 [摘要] 介绍一种基于labvIEW环境下自行开发的虚拟函数信号发生器,它不仅能够产 生实验室常用的正弦波、三角波、方波、锯齿波信号,而且还可以通过输入公式,产生测试和研究领域所需要的特殊信号。对任意波形的发生可实现公式输入;对信号频率、幅度、相位、偏移量可调可控;方波占空比可以调控;噪声任意可加、创建友好界面、信号波形显示;输出频谱特性;所有调制都可微调与粗调。该仪器系统操作简便,设计灵活,功能强大,可以完成不同环境下的测量要求。因此具有很强的实用性。 关键词:虚拟仪器,labvIEW,虚拟函数信号发生器,正弦波,三角波,方波,锯齿波, 特殊信号。 引言: 在有关电磁信号的测量和研究中,我们需要用到一种或多种信号源,而函数信号发生器则为我们提供了在研究中所需要的信号源。它可以产生不同频率的正弦波,方波,三角波,锯齿波,正负脉冲信号,调频信号,调幅信号和随机信号等。其输出信号的幅值也可以按需要进行调节。传统信号发生器种类繁多,价格昂贵,而且功能固定单一,不具备用户对仪器进行定义及编程的功能,一个传统实验室很难拥有多类信号发生器。然而,基于虚拟仪器技术的实验室均能满足这一要求。 1、虚拟仪器简介: 自从1986年美国NI(National Instrument)公司提出虚拟仪器的概念以来,随着计 算机技术和测量技术的发展,虚拟仪器技术也得到很快的发展。虚拟仪器是指:利用现有的PC机,加上特殊设计的仪器硬件和专用软件,形成既有普通仪器的基本功能,又有一般仪器所没有的特殊功能的新型仪器。与传统的仪器相比其特点主要有:具有更好的测量精度和可重复性;测量速度快;系统组建时间短;由用户定义仪器功能;可扩展性强;技术更新快等。虚拟仪器以软件为核心,其软件又以美国NI公司的Labview虚拟仪器软件开发平台最为常用。Labview是一种图形化的编程语言,主要用来开发数据采集,仪器控制及数据处理分析等软件,功能强大。目前,该开发软件在国际测试、测控行业比较流行,在国内的测控领域也得到广泛应用。函数信号发生器是在科学研究和工程设计中广泛应用的一种通用仪器。下面结合一个虚拟函数信号发生器设计开发具体介绍基于图形化编程语言Labview的虚拟仪器编程方法与实现技术。 2、虚拟函数信号发生器的结构与组成 2.1 虚拟函数信号发生器的前面板

多功能信号发生器课程设计要点

课题:多功能信号发生器专业:电子信息工程 班级:1班 学号: 姓名: 指导教师:汪鑫 设计日期: 成绩: 重庆大学城市科技学院电气学院

多功能信号发生器设计报告 一、设计目的作用 1.掌握简易信号发生器的设计、组装与调试方法。 2.能熟练使用multisim10电路仿真软件对电路进行设计仿真调试。 3.加深对模拟电子技术相关知识的理解及应用。 二、设计要求 1.设计任务 设计一个能够输出正弦波、方波、三角波三种波形的信号发生器,性能要求如下: (1)输出频率,f=20Hz-5kHz 连续可调的正弦波、方波、三角波; (2)输出正弦波幅度V=0-5V可调,波形的非线性失真系数<=5%; (3)输出三角波幅度V=0-5V可调。 (4)输出方波幅度可在V=0-12V之间可调。 2.设计要求 (1)设计电路,计算电路元件参数,拟定测试方案和步骤; (2)测量技术指标参数; (3)写出设计报告。 三、设计的具体实现 1、系统概述 1.1正弦波发生电路的工作原理: 产生正弦振荡的条件: 正弦波产生电路的目的就是使电路产生一定频率和幅度的正弦波,我们一般在放大电路中引入正反馈,并创造条件,使其产生稳定可靠的振荡。正弦波产生电路的基本结构是:引入正反馈的反馈网络和放大电路。其中:接入正反馈是产生振荡的首要条件,它又被称为相位条件;产生振荡必须满足幅度条件;要保证输出波形为单一频率的正弦波,必须具有选频特性;同时它还应具有稳幅特性。因此,正弦波产生电路一般包括:放大电路;反馈网络;选频网络;稳幅电路个部分。 正弦波振荡电路的组成判断及分类: (1)放大电路:保证电路能够有从起振到动态平衡的过程,电路获得一定幅值的输出值,实现自由控制。 (2)选频网络:确定电路的振荡频率,是电路产生单一频率的振荡,即保证电路产生正弦波振荡。 (3)正反馈网络:引入正反馈,使放大电路的输入信号等于其反馈信号。(4)稳幅环节:也就是非线性环节,作用是输出信号幅值稳定。 判断电路是否振荡。方法是: (1)是否满足相位条件,即电路是否是正反馈,只有满足相位条件才可能产

简易信号发生器的设计实现

EDA课程设计简易信号发生器的设计实现 小组成员:XXXXXX XXXXX 专业:XXXXX 学院:机电与信息工程学院指导老师:XXXXXX 完成日期:XX年XX月XX日

目录 引言 (3) 一、课程设计内容及要求 (3) 1、设计内容 (3) 2、设计要求 (3) 二、设计方案及原理 (3) 1、设计原理 (3) 2、设计方案 (4) (1)设计思想 (4) (2)设计方案 (4) 3、系统设计 (5) (1)正弦波产生模块 (5) (2)三角波产生模块 (6) (3)锯齿波产生模块 (6) (4)方波产生模块 (6) (5)波形选择模块 (6) (6)频率控制模块 (6) (7)幅度控制模块 (6) (8)顶层设计模块 (7) 三、仿真结果分析 (7) 波形仿真结果 (7) 1、正弦波仿真结果 (7) 2、三角波仿真结果 (8) 3、锯齿波仿真结果 (8) 4、方波仿真结果 (8) 5、波形选择仿真结果 (9) 6、频率控制仿真结果 (9) 四、总结与体会 (10) 五、参考文献 (10) 六、附录 (11)

简易信号发生器 引言 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广范的应用。它能够产生多种波形,如正弦波、三角波、方波、锯齿波等,在电路实验和设备检验中有着十分广范的应用。 本次课程设计采用FPGA来设计多功能信号发生器。 一、课程设计内容及要求 1、设计内容 设计一个多功能简易信号发生器 2、设计要求 (1)完成电路板上DAC的匹配电阻选择、焊接与调试,确保其能够正常工作。 (2)根据直接数字频率合成(DDFS)原理设计正弦信号发生器,频率步进1Hz,最高输出频率不限,在波形不产生失真(从输出1KHz正弦转换为输出最高频率正弦时,幅度衰减不得大于10%)的情况下越高越好。频率字可以由串口设定,也可以由按键控制,数码管上显示频率傎。 (3)可以控制改变输出波形类型,在正弦波、三角波、锯齿波、方波之间切换。 (4)输出波形幅度可调,最小幅度步进为100mV。 二、设计方案及原理 1、设计原理 (1)简易信号发生器原理图如下

相关文档
最新文档