基于Multisim的交通信号灯

基于Multisim的交通信号灯
基于Multisim的交通信号灯

基于M u l t i s i m的交通信

号灯

This model paper was revised by the Standardization Office on December 10, 2020

电子技术课程设计报告

题目:基于Multisim的交通信号灯学生姓名:

学生学号:

年级:

专业:

班级:

指导教师:

机械与电气工程学院制

2016年11月

交通信号灯设计

机械与电气工程学院自动化专业

1设计的任务与要求

课程设计的任务

1、进一步熟悉数字电路中计数器,译码器,555定时器等中规模逻辑器件的综合使用。

2、探究,学习可编程交通信号灯的工作原理。

3、了解使用数字电子电路知识来解决电子线路的实际问题的能力。以便更好掌握所学的知识,培养一定的动手能力。

课程设计的要求

1、要求使用555定时器、计数器。

2、要求东西亮灯一致,南北亮灯一致。

3、东西亮绿灯和黄灯时,南北亮红灯;南北亮绿灯和黄灯时,东西亮红灯,黄灯亮时每秒种闪亮一次,红灯亮35s,绿灯亮32s,黄灯3s。

4、东西、南北方向除了有红(R)、黄(Y)、绿(G)灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法);

2交通信号灯设计方案制定

交通信号灯设计的原理

电路大体上可分为三个部分,即:主控制电路部分、计数器部分、红绿灯控制部分。红绿灯控制部分的门电路较多,需要占用较大的空间;主控制电路部分分布在系统的各个部分,可以说是系统的灵魂,它对整个系统进行着控制。计数器部分比较简单,主要是进行计数并且产生进位信号。

交通信号灯设计的技术方案

交通灯原理控制如上图所示,它主要由秒脉冲发生器、定时器、译码器、控制器等部分组成。利用555定时器产生秒脉冲送至74LS192减法计数器,控制74LS192做减法计算。状态控制电路74LS162进行交通信号灯之间的转换。74LS138输入端接74LS162输出端,再对74LS192进行预置数。

3交通信号灯设计方案实施

交通信号灯单元模块功能及电路设计

1s脉冲发生器

以555定时器接外接电路形成多谐荡器,输出频率为1Hz 的脉冲信号,用作74LS162计数器及74LS192减法计数器的CLK 信号。

由脉冲频率公式:

f=1/(R 1+2R 2)C

要使f=1Hz ,可选择R1=43K ,R2=50K ,C=10uF 。 形成电路

图2 脉冲发生器

状态控制单元

主控电路属于时序逻辑电路,状态控制器是系统的核心部分,通过74LS162控制主支干道红绿黄灯亮灭的四中种装态S0(南北方向绿灯亮,东西方向红灯亮),S1(南北方向黄灯亮,东西方向红灯亮),S2(南北方向红灯亮,东西方向绿灯亮),S3(南北方向红灯亮,东西方向黄灯亮)。

令灯亮为“1”、灯灭为“0”,南北干道红绿黄等分别为R1、G1、Y1,东西干道红绿黄等分别为R2、G2、Y2,东西、南北方向交通信号灯的工作是同时进行的。前25秒南北方向绿灯亮东西方向红灯亮,之后5秒南北方向黄灯亮.东西方向红灯亮,之后25秒南北方向红灯亮东西方向绿灯亮,接着5秒南北方向红灯亮.东西方向黄灯亮,一次循环为60秒。

状态流程图如下:

表1 信号灯电路真值表

74LS162输出为0011时置数,接成四进制计数器,CLK接状态转换控制信号。

图4 状态控制电路

初值预置单元

当交通灯控制系统开始工作时,该部分电路将实现各种状态的转换功能。由于Multisim的问题,本来预置数为25、5、25、5,现在根据原理,预置数要改为35、5、35、5,将数码管显示南北方向绿灯和东西方向红灯的预值(25秒),预置:0011、0101;当其减到0时,计数器产生借位,此时南北绿灯和东西方向红灯同时灭。然后将南北方向黄灯和东西方向红灯的预值(5秒),预置0000、0101;重复上述转换功能,实现倒计时计数功能。

图5 初值预置电路

用两片计数器74LS192接成百进制减法计数器,低位片的借位输出端接高位片的脉冲输入端。高位的借位端用作主控制电路翻转的脉冲信号来完成状态的改变,同时主控制电路的输出状态又控制了减法计数器的下次计数的初值。由置数模块采用译码器(74LS138)译码。减法计数器的十位和个位分别接译码器和静态数码管来显示时间的倒计时。通过电路与静态显示管来循环显示25、5、25、5这四个数

图6 数字显示电路

交通信号灯电路参数计算及元器件选择

交通信号灯系统整体电路图

元器件清单

4交通信号灯设计的仿真实现

仿真软件介绍

电子线路仿真软件是美国NI公司开发的多功能EDA高层次工具软件,不仅仅局限于电子线路的虚拟仿真,而是其在LabVIEW虚拟仪器、单片机仿真等技术方面都有更多的创新和提高。它采用直观的图形界面创建电路,在计算机屏幕上仿真实验室的工作平台,绘制电路图需要的各种元器件,电路仿真需要的测试仪器均可直接从屏幕上选取。还是一个优秀的电子技术训练工具,利用它提供的虚拟仪器,可以用比传统实验室中更灵活的方式进行电路实验,仿真电路的实际运行情况,熟悉常用电子仪器的测量方法,并能解决传统电子实验过程中既繁琐、费时,又不便进行观测开路、短路、漏电和过载等情况,因此非常适合电子技术课程的教学和实验。

交通信号灯设计仿真实现

5 总结及心得体会

设计过程中遇到的问题及解决方法

第一次仿真:数码管无法进行倒计时功能,原因是555定时器的vcc没有接好。

第二次仿真:数码管倒计时间过快,

5. 2设计体会

通过本次课程设计,对数字电路的基本知识有了更加深刻的印象,对555定时器有了更深的认识,完成了交通灯的设计。对以前所学的电子电路和数电知识既是巩固又是发展。学会了在实践中运用理论,用理论来指导实践,培养了理论联系实际的正确设计思想。通过对课题的设计,训练了运用所学的理论知识去思考问题并联系理论实际解决问题的能力,提高了逻辑思维的能力。

在这次课程设计中,培养了实际运用理论的能力,懂得理论联系实际去处理问题,也培养了吃苦耐劳的精神,为以后更好的的学习和工作打下了结实的基础,对于我们来说是一次难得的实践机遇,也是一个宝贵的精神财富。

参考文献

[1]康华光.电子技术基础.模拟部分[M].第五版.北京:高等教育出版社,.

[2]沈小丰,余琼蓉主编电子线路实验模拟电子实验[M].第一版清华大学出版社,2008.

[3]贾更新.电子技术基础实验、设计与仿真.郑州大学出版社,

[4]王革思.数字电路原理设计与实践教程.哈尔滨工程大学出版社,

[5]孙肖子. 电子设计指南[M].北京:高等教育出版社.

[6]朱彩莲.MULTISIM仿真实验教材[M].西安:西安电子科技大学出版社,2007.

[7]童诗白,华成英主编. 模拟电子基础[M].第四版.高等教育出版社,2006.

[8]阎石. 数字电子技术基础[M].第五版.高等教育出版社,2006.

正弦波振荡器设计multisim(DOC)

摘要 自激式振荡器是在无需外加激励信号的情况下,能将直流电能转换成具有一定波形、一定频率和一定幅值的交变能量电路。正弦波振荡器的作用是产生频率稳定、幅度不变的正弦波输出。基于频率稳定、反馈系数、输出波形、起振等因素的综合考虑,本次课程设计采用电容三点式振荡器,运用multisim软件进行仿真。根据静态工作点计算出回路的电容电感取值,得出输出频率与输出幅度有效值以达到任务书的要求。 关键词:电容三点式;振荡器;multisim;

目录 1、绪论 (1) 2、方案的确定 (2) 3、工作原理、硬件电路的设计和参数的计算 (3) 3.1 反馈振荡器的原理和分析 (3) 3.2. 电容三点式振荡单元 (4) 3.3 电路连接及其参数计算 (5) 4、总体电路设计和仿真分析 (6) 4.1组建仿真电路 (6) 4.2仿真的振荡频率和幅度 (7) 4.3误差分析 (8) 5、心得体会 (9) 参考文献 (10) 附录 (10) 附录Ⅰ元器件清单 (10) 附录Ⅱ电路总图 (11)

1、绪论 振荡器是不需外信号激励、自身将直流电能转换为交流电能的装置。凡是可以完成这一目的的装置都可以作为振荡器。一个振荡器必须包括三部分:放大器、正反馈电路和选频网络。放大器能对振荡器输入端所加的输入信号予以放大使输出信号保持恒定的数值。正反馈电路保证向振荡器输入端提供的反馈信号是相位相同的,只有这样才能使振荡维持 下去。选频网络则只允许某个特定频率0f能通过,使振荡器产生单一频率的输出。 振荡器能不能振荡起来并维持稳定的输出是由以下两个条件决定的;一个是反馈电压 U和输入电压i U要相等,这是振幅平衡条件。二是f U和i U必须相位相同,这是相位f 平衡条件,也就是说必须保证是正反馈。一般情况下,振幅平衡条件往往容易做到,所以在判断一个振荡电路能否振荡,主要是看它的相位平衡条件是否成立。 本次课程设计我设计的是电容反馈三点式振荡器,电容三点式振荡器,也叫考毕兹振荡器,是自激振荡器的一种,这种电路的优点是输出波形好。电容三点式振荡器是由串联电容与电感回路及正反馈放大器组成。因振荡回路两串联电容的三个端点与振荡管三个管脚分别相接而得名。 本课题旨在根据已有的知识及搜集资料设计一个正弦波振荡器,要求根据给定参数设计电路,并利用multisim仿真软件进行仿真验证,达到任务书的指标要求,最后撰写课设报告。报告内容按照课设报告文档模版的要求进行,主要包括有关理论知识介绍,电路设计过程,仿真及结果分析等。 主要技术指标:输出频率9 MHz,输出幅度(有效值)≥5V。

最详细最好的Multisim仿真教程

第13章Multisim模拟电路仿真本章Multisim10电路仿真软件,讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image T echnologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim 经历了多个版本的升级,已经有Multisim2001、Multisim7、Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

Multisim课程设计正弦波发生器

东北石油大学MULTISIM电气应用训练 2012年3 月01日

MULTISIM电气应用训练任务书 课程MULTISIM电气应用训练 题目Multisim的正弦波振荡电路仿真 专业自动化姓名刘月莹学号0906******** 主要内容: 以文氏电桥正弦波振荡电路仿真为例,分析了基本及稳幅文氏电桥正弦波发生器的特点,并采用Multisim 10软件对文氏电桥正弦波发生器进行了仿真,仿真结果与理论分析结果一致。软件仿真在课堂教学、电路设计、及实验教学中的应用,使得课堂教学信息量饱满,设计、实验变得轻松,使教学的效果得到提升,在教学领域具有重要的推广、应用价值。 主要参考资料: [1] 黄智伟.全国大学生电子设计竞赛电路设计[J].北京:北京航空航天大学出版社,2006. [2] 康华光.电子技术基础[J].北京:高等教育出版社,2001. [3] 张凤言.电子电路基础[M].北京:高等教育出版社,1995. [4] 杨素行.模拟电子技术基础简明教程[M].北京:高等教育出版社,2002. [5] 岳怡.数字电路与数字电子技术[J].西安工业大学出版社,2004. [6] 路勇.电子电路实验及仿真[M].清华大学出版社,2004. [7] 张俊漠.单片机中级教程——原理与应用[M].北京航天航空大学出版社,2006. 完成期限2012.2.20——2012.3.1 指导教师李宏玉刘超 专业负责人 2012年3 月1 日

目录 1 任务和要求 (1) 2 稳幅文氏电桥正弦波发生器 (5) 3文氏电桥正弦波发生器电路仿真 (5) 4设计总结 (6) 参考文献 (6)

multisim的基本界面

multisim的基本界面 multisim的主窗口 点击“开始”→“程序”→“Electronics Workbench”→“multisim8.0”,启动multisim8.0,可以看到multisim的主窗口。 从图可以看出,multisim的主窗口如同一个实际的电子实验台。屏幕中央区域最大的窗口就是电路工作区,在电路工作区上可将各种电子元器件和测试仪器仪表连接成实验电路。电路工作窗口上方是菜单栏、工具栏。从菜单栏可以选择电路连接、实验所需的各种命令。工具栏包含了常用的操作命令按钮。通过鼠标器操作即可方便地使用各种命令和实验设备。电路工作窗口两边是元器件栏和仪器仪表栏。元器件栏存放着各种电子元器件,仪器仪表栏存放着各种测试仪器仪表,用鼠标操作可以很方便地从元器件和仪器库中,提取实验所需的各种元器件及仪器、仪表到电路工作窗口并连接成实验电路。按下电路工作窗口的上方的“启动/停止”开关或“暂停/恢复”按钮可以方便地控制实验的进程 Multisim8.0有11个主菜单,菜单中提供了本软件几乎所有的功能命令 File(文件)菜单提供17个文件操作命令,如打开、保存和打印等

Edit(编辑)菜单在电路绘制过程中,提供对电路和元件进行剪切、粘贴、旋转等操作命令,共13个命令 View(窗口显示)菜单提供15个用于控制仿真界面上显示的内容的操作命令

Place(放置)菜单提供在电路工作窗口内放置元件、连接点、总线和文字等10个命令 Simulate(仿真)菜单提供11个电路仿真设置与操作命令

Transfer(文件输出)菜单提供7个传输命令 Tools(工具)菜单提供8个元件编辑或管理命令

Multisim课程设计报告

Multisim课程设计报告 课程名称:multisim电路仿真设计题目:病房呼叫系统设计 学号:王后影110914033 专业班级:11电信本(一)班

指导老师:宇安 病房呼叫系统的设计 一.实验目的 1.掌握数字电路课程所学的理论知识以及数字电子技术在生活中的应用。2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.进一步深化对电子技术的了解,强化实际动手操作能力以及发现问题解决问题的方法。 4.培养认真严谨的工作作风和实事的工作态度。 5.数电课程实验是大学中为我们提供的一次动手实践的机会,增强实际动手操作与研发的能力 二.实验原理 要求当一号病房的按钮按下时,无论其他病室的按钮是否按下,护士值班室的数码显示“1”,即“1”号病室的优先级别最高,其他病室的级别依次递减,7号病室级别最低,当7个病房中有若干个请求呼叫开关合上时,护士值班室的数码管所显示的即为当前优先级别最高的病室呼叫,同时在有呼叫的病房门口的指示灯闪烁。待护士按优先级处理完后,将该病房的呼叫开关打开,再去处理下一个相对最高优先级的病房的事务。全部处理完毕后,即没有病室呼叫,此时值班室的数码管显示“0”。

电路设计流程图 本例在设计中采用了8/3线优先编码器74LS148,74LS148有8个数据端(0~7),3个数据输出端(A0~A1),1个使能输入端(EI,低电平有效),两个输出端(GS,E0)。数据输出端A~C根据输入端的选通变化,分别输出000~111这0~7二进制码,经逻辑组合电路与74LS47D BCD-七段译码器/驱动器的数据输入端(A~C)相连,最终实现设计要求的电路功能,电路如图所示。电路中与门74LS08DD的输出端(3、6、8)与74LS147D BCD-七段译码器/驱动器的数据输入端的数据端(A、B、C)连接。 此例仿真可在Multisim的主界面下,启动仿真开关即可进行电路的仿真。K1~K7为病房呼叫开关,在其下方的Key=1,...Key=7分别表示按下键盘1~7数字键,即可控制相应开关的通道。L1~L7为模拟病房门口的呼叫指示灯,当呼叫开关K1~K7任何开关被按下时,相应开关上的指示灯即闪烁发光,同时护士值班室的数码管即显示相对最高优先级别的病房号,而且蜂鸣器SP会令计算机上的扬声器发声。

multisim常见元件

multisim元件库 1.点击“放置信号源”按钮,弹出对话框中的“系列”栏如图2所示。 图2 (1). 选中“电源(POWER_SOURCES)”,其“元件”栏下内容如图3所示: 图3 (2). 选中“信号电压源(SIGNAL_VOLTAGE_SOURCES)”,其“元件”栏下内容如图4所示:

图4 (3). 选中“信号电流源(SIGNAL_CURRENT_SOURCES)”,其“元件”栏下内容如图5所示: 图5 (4). 选中“控制函数块(CONTROL_FUNCTION_BLOCKS)”,其“元件”栏下内容如图6所示: 图6 (5). 选中“电压控源(CONTROLLED_VOLTAGE_SOURCES)”,其“元件”栏下内容如图7所示:

图7 (6). 选中“电流控源(CONTROLLED_CURRENT_SOURCES)”,其“元件”栏下内容如图8所示: 图8 2. 点击“放置模拟元件”按钮,弹出对话框中“系列”栏如图9 所示。 图9 (1). 选中“模拟虚拟元件(ANALOG_VIRTUAL)”,其“元件”栏中仅有虚拟比较器、三端虚拟运放和五端虚拟运放3个品种可供调用。 (2). 选中“运算放大器(OPAMP)”。其“元件”栏中包括了国外许多公司提供的多达4243种各种规格运放可供调用。 (3). 选中“诺顿运算放大器(OPAMP_NORTON)”,其“元件”栏中有16种规格诺顿运放可供调用。 (4). 选中“比较器(COMPARATOR)”,其“元件”栏中有341种规格比较器可供调用。 (5). 选中“宽带运放(WIDEBAND_AMPS)”其“元件”栏中有144种规格宽带运放可供调用,宽带运放典型值达100MHz,主要用于视频放大电路。

multisim中信号发生器

关于信号发生器正确使用.

当函数发生器接正负两端时,结果如下:

做仿真时,有些疑问,为什么放大时,增益为什么不是2,或者接近2(只对本电路来说)按照信号与系统来说,正弦信号经过一个线性稳定系统,其输出应为同频率,相位不同,幅值不同(由线性系统的传递函数决定)的正弦信号。可是放大器工作在线性区,其传递函数与所包含的S基本没有关系(电容所对的传递函数1/sc 电感对应传递函数Ls,电阻对应的只是他们的阻值,不含s项)。其增益应为(近似)放大器的放大倍数。可是第二次做的结果不是放大器放大器的倍数,而是放大器放大倍数的两倍(近似)。今天分析可知信号发生器的接法不同导致出现了这样的结果。 正确的使用方法如下: 1:当外接+和GND端子时,输出正极性信号,幅值等于信号发生器的有效值。 2:当外接﹣和GND端子时,输出负极性信号,幅值等于信号发生器的有效值。 3:当外接+和﹣端子时,输出幅值等于信号发生器的有效值的两倍。 4:同时接+ GND和﹣三个端子时,输出两个幅度相等极性相反的两个信号。 兰亭序 永和九年,岁在癸丑,暮春之初,会于会稽山阴之兰亭,修禊事也。群贤毕至,少长咸集。此地有崇山峻岭,茂林修竹;又有清流激湍,映带左右,引以为流觞曲水,列坐其次。虽无丝竹管弦之盛,一觞一咏,亦足以畅叙幽情。是日也,天朗气清,惠风和畅,仰观宇宙之大,俯察品类之盛,所以游目骋怀,足以极视听之娱,信可乐也。 夫人之相与,俯仰一世,或取诸怀抱,晤言一室之内;或因寄所托,放浪形骸之外。虽取舍万殊,静躁不同,当其欣于所遇,暂得于己,快然自足,不知老之将至。及其所之既倦,情随事迁,感慨系之矣。向之所欣,俯仰之间,已为陈迹,犹不能不以之兴怀。况修短随化,终期于尽。古人云:“死生亦大矣。”岂不痛哉! 每览昔人兴感之由,若合一契,未尝不临文嗟悼,不能喻

Multisim使用简介

ⅤMultisim 2001 使用简介 Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。为适应不同的应用场合,Multisim推出了许多版本,用户可以根据自己的需要加以选择。在本书中将以教育版为演示软件,结合教学的实际需要,简要地介绍该软件的概况和使用方法,并给出几个应用实例(样例文件见光盘)。 第一节Multisim概貌 软件以图形界面为主,采用菜单、工具栏和热键相结合的方式,具有一般Windows应用软件的界面风格,用户可以根据自己的习惯和熟悉程度自如使用。 一、Multisim的主窗口界面。 启动Multisim 2001后,将出现如图1所示的界面。 界面由多个区域构成:菜单栏,各种工具栏,电路输入窗口,状态条,列表框等。通过对各部分的操作可以实现电路图的输入、编辑,并根据需要对电路进行相应的观测和分析。用户可以通过菜单或工具栏改变主窗口的视图内容。 二、菜单栏 菜单栏位于界面的上方,通过菜单可以对Multisim的所有功能进行操作。 不难看出菜单中有一些与大多数Windows平台上的应用软件一致的功能选项,如File,Edit,View,Options,Help。此外,还有一些EDA软件专用的选项,如Place,Simulation,Transfer以及Tool等。

1. File File菜单中包含了对文件和项目的基本操作以及打印等命令。 命令功能 New 建立新文件 Open 打开文件 Close 关闭当前文件 Save 保存 Save As 另存为 New Project 建立新项目 Open Project 打开项目 Save Project 保存当前项目 Close Project 关闭项目 Version Control 版本管理 Print Circuit 打印电路 Print Report 打印报表 Print Instrument 打印仪表 Recent Files 最近编辑过的文件 Recent Project 最近编辑过的项目 Exit 退出Multisim 2. Edit Edit命令提供了类似于图形编辑软件的基本编辑功能,用于对电路图进行编辑。命令功能 Undo 撤消编辑 Cut 剪切 Copy 复制 Paste 粘贴 Delete 删除 Select All 全选 Flip Horizontal 将所选的元件左右翻转 Flip Vertical 将所选的元件上下翻转 90 ClockWise 将所选的元件顺时针90度旋转90 ClockWiseCW 将所选的元件逆时针90度旋转

模拟电子技术课程设计(Multisim仿真)

《电子技术Ⅱ课程设计》 报告 姓名 xxx 学号 院系自动控制与机械工程学院 班级 指导教师 2014 年 6 月18日

目录 1、目的和意义 (3) 2、任务和要求 (3) 3、基础性电路的Multisim仿真 (4) 3.1 半导体器件的Multisim仿真 (4) 3.11仿真 (4) 3.12结果分析 (4) 3.2单管共射放大电路的Multisim仿真 (5) 3.21理论计算 (7) 3.21仿真 (7) 3.23结果分析 (8) 3.3差分放大电路的Multisim仿真 (8) 3.31理论计算 (9) 3.32仿真 (9) 3.33结果分析 (9) 3.4两级反馈放大电路的Multisim仿真 (9) 3.41理论分析 (11) 3.42仿真 (12) 3.5集成运算放大电路的Multisim仿真(积分电路) (12) 3.51理论分析 (13) 3.52仿真 (14) 3.6波形发生电路的Multisim仿真(三角波与方波发生器) (14) 3.61理论分析 (14) 3.62仿真 (14) 4.无源滤波器的设计 (14) 5.总结 (18) 6.参考文献 (19)

一、目的和意义 该课程设计是在完成《电子技术2》的理论教学之后安排的一个实践教学环节.课程设计的目的是让学生掌握电子电路计算机辅助分析与设计的基本知识和基本方法,培养学生的综合知识应用能力和实践能力,为今后从事本专业相关工程技术工作打下基础。这一环节有利于培养学生分析问题,解决问题的能力,提高学生全局考虑问题、应用课程知识的能力,对培养和造就应用型工程技术人才将能起到较大的促进作用。 二、任务和要求 本次课程设计的任务是在教师的指导下,学习Multisim仿真软件的使用方法,分析和设计完成电路的设计和仿真。完成该次课程设计后,学生应该达到以下要求: 1、巩固和加深对《电子技术2》课程知识的理解; 2、会根据课题需要选学参考书籍、查阅手册和文献资料; 3、掌握仿真软件Multisim的使用方法; 4、掌握简单模拟电路的设计、仿真方法; 5、按课程设计任务书的要求撰写课程设计报告,课程设计报告能正确反映设计和仿真结果。

数电课程设计基于Multisim的乒乓球游戏机控制电路设计

课程设计(论文) 课程名称:数字电子技术基础 题目:基于Multisim的乒乓球游戏机控制电路设计院(系): 专业班级: 姓名: 学号: 指导教师:

任务书 设计题目:基于Multisim乒乓球游戏机的控制设计电路 课题目的: 该乒乓球游戏机电路主要由3块组成:球台驱动电路,控制电路和计分电路组成。其中球台电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;计分电路具有当A或B击球有效时加分和当游戏者的分数累计超过10时报警通知裁判对系统初始化以便重新开始比赛计分功能。 课题主要内容与要求: 内容:本课题设计一个以8个二极管的依次被点亮代表球的移动位置双向选择开关J2,J3控制发球,击球信号,在Multisim软件上测试结果。 要求:1、熟悉Multisim软件 2、用8个发光二极管表示球,用俩个按钮分别表示AB俩个球员的球拍; 3、A,B各有一个数码管计分。 4、裁判有一个按钮,用来对系统初始化,每次得分后按下一次。

摘要 乒乓球游戏机通过十分巧妙地设计采用数字芯片实现乒乓球左右移动,选手击球得分,累计得分超10报警灯功能。该设计三个双向开关J1,J2,J3分别作为裁判和游戏者A,B,且选手可以译码显示器上直接读出自己的得分,具有操作简单,结构清晰的优点。 对与模电课题的研究离不开电路图,不过现在都在实行电子化,所以需要借助电子产品。Multisim软件就是一款画电路图的电子软件,在此对不太熟悉或未接触过Multisim软件的朋友简短的介绍下: Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。同时具备可以根据自己的需求制造出真正属于自己的仪器;所有的虚拟信号都可以通过计算机输出到实际的硬件电路上;所有硬件电路产生的结果都可以输回到计算机中进行处理和分析等特点。该乒乓球游戏机电路主要有3块电路:台球驱动电路,控制电路和计分电路组成。其中台球驱动电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;

(完整版)Multisim10仿真软件简介与使用

Multisim10仿真软件简介与使用 Multisim10.0是加拿大交互图像技术公司推出的最新电子仿真软件,是Multisim系列的改进版。该版使文件管理和操作更方便,元件调用更便捷,元件的标注更加直观实用,增加了仿真的真实感,使虚拟的电子实验平台更加接近实际的实验平台。Multisim10.0是一种在电子技术界广为应用的优秀计算机仿真设计软件,被誉为“计算机里的电子实验室”。 1.Multisim10.0的基本操作界面 Multisim10.0软件以图形界面为主,具有一般Windows应用软件的风格,可以使用户自如使用。启动Multisim10.0后,出现如图3-4-1界面。 仪器仪表栏菜单栏工具栏 仿真电源开关元器件栏 状态栏 电路工作区 图3-4-1 主界面窗口 (1)菜单栏 Multisim10.0的菜单包括主菜单、一级菜单和二级菜单,通过菜单可以对Multisim10.0的所有功能进行操作。如图3-4-2所示。 图3-4-2 主菜单 (2)工具栏 Multisim10.0提供了多种工具栏,如系统工具栏、主工具栏、元件工具栏、仪表工具栏。 ①系统工具栏提供了文档常用的新建文件、打开文件、保存文件、打印、放大、缩 小等操作。如图3-4-3所示。 图3-4-3 系统工具栏 ②元件工具栏提供了从Multisim元件数据库中选择、放置元件到原理图中的按钮。如图3-4-4所示。从左到右元件库依次为电源库、基本元件库、二极管库、晶体管库、模拟元件库、TTL库、CMOS库、其它数字元件库、数模混合元件库、指示器库、电源器件库、混合项元件库、高级的外设器件库、射频元件库、电气元件库、MCU器件库、设置层次库、放置总线库。

实验八multisim电路仿真

电子线路设计软件课程设计报告 实验内容:实验八multisim电路仿真 一、验目的 1、进一步熟悉multisim的操作和使用方法 2、掌握multisim做电路仿真的方法 3、能对multisim仿真出的结果做分析 二、仿真分析方法介绍 Multisim10为仿真电路提供了两种分析方法,即利用虚拟仪表观测电路的某项参数和利用Multisim10 提供的十几种分析工具,进行分析。常用的分析工具有:直流工作点分析、交流分析、瞬态分析、傅立叶分析、失真分析、噪声分析和直流扫描分析。利用这些分析工具,可以了解电路的基本状况、测量和分析电路的各种响应,且比用实际仪器测量的分析精度高、测量范围宽。下面将详细介绍常用基本分析方法的作用、分析过程的建立、分析对话框的使用以及测试结果的分析等内容 1、直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 执行菜单命令Simulate/Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图所示。直流工作点分析对话框包括3页。

Output 页用于选定需要分析的节点。 左边Variables in circuit 栏内列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏内中选中需要分析的变量(可以通过鼠标拖拉进行全选),再点击Plot during simulation 按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。Analysis Options页 点击Analysis Options按钮进入Analysis Options页,其中排列了与该分析有关的其它分析选项设置,通常应该采用默认的 Summary页

Multisim仿真软件初识与基本门电路测试

实验一: Multisim仿真软件初识与基本门电路测试 一、仿真实验目的 1)认识电路仿真软件Multisim,了解其基本操作,掌握构建仿真电路的基本方法,体会虚拟设备与仿真。 2) 通过逻辑电路测试与非门的功能,得到其真值表; 3)学会使用与非门组成其他门电路 二、计算机仿真实验内容: 1. 测与非门的逻辑功能: (1). 单击电子仿真软件Multisim10基本界面左侧左列真实元件工具条的“TTL”按钮,从弹出的对话框中选取一个与非门74LS00N,将它放置在电子平台上;单击真实元件工具条的“place Source”按钮,将电源Vcc和地线调出放置在电子平台上;单击真实元件工具条的“place Basic”按钮,选择switch中的SPDT (单刀双掷开关),“1J”和“2 J”调出放置在电子平台上,并分别双击“1J”和“2 J”图标,将弹出的对话框的“Key for Switch”栏设置成“A”和“B”,最后点击对话框下方“OK”按钮退出。 (2). 单击电子仿真软件Multisim10基本界面右侧虚拟仪器工具条“Multimeter”按钮,如图1左图所示,调出虚拟万用表“XMM1”放置在电子平台上,如图3.2.4右图所示。 图1 (3). 将所有元件和仪器连成仿真电路如图2所示。

图2 (4). 双击虚拟万用表图标“XMM1”,将出现它的放大面板,按下放大面板上的“电压”和“直流”两个按钮,将它用来测量直流电压如图3所示。 图3 (5). 打开仿真开关,按表3.2.1所示,分别按动“A”和“B”键,使与非门的两个输入端为表中4 种情况,从虚拟万用表的放大面板上读出各种情况的直流电位,将它们填入表内,并将电位转换成逻辑状态填入表内。 表1:

multisim10示波器的使用方法

共基极放大器 电子仿真软件MultiSIM 9中的虚拟示波器使用方法 默认分类 2009-04-11 12:59 阅读330 评论0 字号:大中小 在电子仿真软件MultiSIM 9中,除了虚拟双踪示波器和虚拟四踪示波器以外,还有两台高性能的先进示波器,它们分别是:跨国“安捷伦”公司的虚拟示波器“Agilent54622D”和美国“泰克”公司的虚拟数字存贮示波器“TektronixTDS2024”。本刊06年第五期曾对Multisim7中的安捷伦虚拟示波器设置和显示有过简单介绍,读者可以参阅该文相关内容。本文主要介绍安捷伦虚拟示波器的一些特殊其它功能和美国“泰克” 公司的虚拟数字存贮示波器这两台高档次的示波器使用方法。 一、安捷伦虚拟示波器“Agilent54622D”的使用方法举例 Agilent54622D虚拟示波器的带宽为100MHz,具有两个模拟通道和16个逻辑通道。图一是它的放大面板图,它的各个开关、按钮及旋钮的排列和调节都和实物仪器完全一样,我们在自己的电脑里也能享受到使用高档次测量仪器的愉悦,且没有损坏仪器的担忧。

图一 一、显示基本波形操作(这里以模拟通道1为例说明) 首先在电子仿真软件MultiSIM 9电子平台上调出安捷伦虚拟函数信号发生器和安捷伦虚拟示波器各一台。并按图二连好电路;双击安捷伦虚拟函数信号发生器图标“XFG1”打开电源开关,不作任何设置使用它的默认值,即:频率1kHz,幅值100mVpp的正弦波(可参阅上期介绍)。

图二 然后双击安捷伦虚拟示波器图标“XSC1”,打开它的电源开关,见图一中鼠标手指所示。 打开仿真开关,这时可以从安捷伦虚拟示波器屏幕上看到一条水平细红线。在放大面板处于当前窗口的前提下,将鼠标移至“Y轴量程调节”旋钮上呈手指状,或按住鼠标左键向逆时针方向转;或连续点击键盘上的“↑”键都可以逐渐放大正弦波信号幅度,且屏幕上方“Y轴量程调节指示”数字在减小; 将鼠标移至“X轴时间调节”旋钮上呈手指状,或按住鼠标左键向逆时针方向转;或连续点击键盘上的“↑”键都可以使正弦波信号展宽,且屏幕上方“X轴时间量程指示”数字在减小; 将鼠标移至屏幕左下角“波形亮度调节”(也可认为是在调整聚焦)旋钮上呈手指状,或按住鼠标左键向顺时针方向转;或连续点击键盘上的“↓”键都可以逐渐加粗正弦波信号波形; 将鼠标移至屏幕左下角“Y轴移位调节”旋钮上呈手指状,或按住鼠标左键向顺时针方向转;或连续点击键盘上的“↓”键都可以将正弦波向下移动,相当于真实示波器的Y轴移位旋钮; 经以上调整结果,从屏幕上可以看到如图三所示波形,从图上我们通过屏幕上方显示的数据可以读出1kHz正弦波的周期是1mS、幅度为100mV,与安捷伦虚拟函数信号发生器设置相符,波形中心离开X 轴为50mV,屏幕上的波形已被适当加粗。

最详细最好的multisim仿真教程

最详细最好的multisim仿真教程第13章 Multisim模拟电路仿真 本章Multisim10电路仿真软件,讲解使用Multisim进行模拟电路仿真的基本方法。目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。

1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim经历了多个版本的升级,已经有Multisim2001、 Multisim7、 Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。 图13.1-1 Multisim10用户界面 菜单栏与Windows应用程序相似,如图13.1-2所示。

multisim仿真说明手册

Multisim7仿真分析命令介绍 1. 直流工作点分析(DC Operating Point Analysis) 直流工作点分析是对电路进行直流分析,分析完毕后给出电路中所有结点的电压和所有直流电压源中的电流。 进行直流工作点分析时,系统会自动假定电路的交流信号为0,且电路中的电容开路,电感短路。 以单管共射放大电路为例介绍如何用直流工作点分析得到电路中部分结点的电压和流过元器件内部结点的电流。 单管共射放大电路 (1)电路结点标注 点击主菜单Options->Preferences,选中circuit页show区中,点击OK按钮返回电路图窗口。

Preferences窗口的Circuit页 (2)仿真方式选择 点击主菜单Simulate->Analysis-> DC Operating Point Analysis。 DC Operating Point Analysis窗口

(3)输出变量选择 Output Variables页用来选定输出分析的变量。 在DC Operating Point Analysis窗口的Output variables页窗口中,左边Variables in circuit区中给出了针对电路中已标注的所有结点,该分析方法能够分析计算的所有变量。可以通过选中需要分析计算的变量点击Add的方法将想要观测的变量添加到右边Select variables for区中,用于软件后台的分析计算。 选择输出变量 其中,$1表示结点1的电压,vv2#branch表示流经电源V2的电流。(4)内部结点添加 有些情况下,元器件有内部结点的存在(如:三极管),若想分析计算元器件内部结点的电流电压参数,可选择左边Variables in circuit区下边的 ,在more options中选择添加元器件模型和想要分析计算的参数。

Multisim仿真应用手册_92309562

电子电路仿真应用手册 2009年6月 前言 本手册基于Multisim V7仿真环境,从最基本的仿真电路图的建立开始,结合实际的例子,对模拟和数字电路中常用的测试方法进行介绍。这些应用示例包括:常用半导体器件特性曲线的测试、放大电路静态工作点和动态参数的测试、电压传输特性的测试、波形上升时间的测试、逻辑函数的转换与化简、逻辑分析仪的使用方法等。 更高版本的Multisim仿真环境与之类似。此外,本手册侧重于测试方法的介绍,仅对主要步骤进行说明,如碰到更细节的问题,可参阅《Multisim V7教学版使用说明书》或其它帮助文档。 目录 1 Multisim主界面简介 (2) 2仿真电路图的建立 (2) 3常用半导体器件特性曲线的测试方法 (3) 3.1 晶体三极管特性曲线的测试 (3) 3.1.1 IV分析仪测试方法 (3) 3.1.2 直流扫描分析方法 (3) 3.2 结型场效应管特性曲线的测试 (4) 3.2.1 IV分析仪测试方法 (4) 3.2.2 直流扫描分析方法 (4) 3.3 二极管、稳压管伏安特性曲线的测试 (5) 4放大电路静态工作点的测试方法 (5) 4.1 虚拟仪器测试方法 (5) 4.2 静态工作点分析方法 (5) 5放大电路动态参数的测试方法 (6) 5.1 电压放大倍数的测试 (6) 5.1.1瞬态分析测试方法 (6) 5.1.2虚拟仪器测试方法 (6) 5.2 输入电阻的测试 (6) 5.3 输出电阻的测试 (7) 5.4频率响应的测试 (7) 5.4.1交流分析方法 (7) 5.4.2 波特图仪测试方法 (7) 6电压传输特性的测试方法 (8) 7上升时间的测试方法 (9) 8逻辑函数的转换与化简 (10) 8.1 逻辑函数转换为真值表 (10) 8.2 真值表转换为逻辑函数 (10) 9逻辑分析仪的使用方法 (11)

multisim使用手册

Multisim使用手册 Multisim是一种EDA仿真工具,它为用户提供了丰富的元件库和功能齐全的各类虚拟仪器。 A1 Multisim 8 基本界面 启动Windows“开始”菜单“所有程序”中的Electronics Workbench/Multisim 8,打开Multisim 8的基本界面如图A1-1所示。 Multisim 8的基本界面主要由菜单栏、系统工具栏、快捷键栏、元件工具栏、仪表工具栏、连接https://www.360docs.net/doc/2118560171.html,按钮、电路窗口、使用中的元件列表、仿真开关(Simulate)和状态栏等项组成。 图A1-1 Multisim 8的基本界面 A1.1 菜单栏 与所有Windows应用程序类似,菜单中提供了软件中几乎所有的功能命令。Multisim 8菜单栏包含着11个主菜单,如图A1-2所示,从左至右分别是File(文件菜单)、Edit(编辑菜单)、View(窗口显示菜单)、Place(放置菜单)、Simulate(仿真菜单)、Transfer(文件输出菜单)、Tools(工具菜单)、Reports(报告菜单)、Options(选项菜单)、Window(窗口菜单)和Help(帮助菜单)等。在每个主菜单下都有一个下拉菜单。

A1-2 菜单栏 1.File(文件)菜单 主要用于管理所创建的电路文件,如打开、保存和打印等,如图A1-3所示。 图A1-3 File菜单 New:提供一个空白窗口以建立一个新文件。 Open:打开一个已存在的*.ms8、*.ms7、*.msm、*.ewb或*.utsch等格式的文件。 Close:关闭当前工作区内的文件。 Save:将工作区内的文件以*.ms8的格式存盘。 Save As:将工作区内的文件换名存盘,仍为*.ms8格式。 Print..:打印当前工作区内的电路原理图。 Print Preview:打印预览。 Print Options:打印选项,其中包括Printer Setup(打印机设置)、Print Circuit Setup(打印电路设置)、Print Instruments(打印当前工作区内的仪表波形图)。 Recent Circuits:最近几次打开过的文件,可选其中一个打开。 New Project、Open Project、Save Project和Recent Projects命令是指对某些专题文件进行的处理,仅在专业版中出现,教育版中无功能。 2.Edit(编辑)菜单 主要用于在电路绘制过程中,对电路和元件进行各种技术性处理,如图A1-4所示。

基于Multisim的音频功率放大器设计与仿真

信息工程学院 课程设计报告书 题目: 基于Multisimde 音频功率放大器设计与仿真 课程:电子线路课程设计 专业: 班级: 学号: 学生姓名: 指导教师: 2015 年 1 月 3 日

信息工程学院课程设计任务书 学号学生姓名专业(班级) 设计题 目 基于Multisimde 音频功率放大器设计与仿真 设计技术参数电源电压:Vs (22) 输入电压:VIN ........................±V 电源V 差分输入电压:VDIFF (5) 工作温度范围:TA …………………… 0℃~70℃存贮温度:TSTG …………………… -65℃~150℃结温:Tj …………………… 150℃ 功耗(5532FE):PD …………………… 1000mW 引线温度(焊接,10S)…………………… 300℃ 设计要求1 输出功率10W/8Ω;频率响应20~20KHz;效率>60﹪;失真小。 2 选择电路方案,完成对确定方案电路的设计。 3 利用Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原理并 仿真实现系统功能。 4 安装调试并按规范要求格式完成课程设计报告书。 参考资料1. 谢自美.电子电路设计.实验.测试.武昌:华中理工大学出版社,1994. 2. 童诗白.模拟电子技术基础.第二版.北京:人民邮电出版社,1999. 3. 康华光主编,电子技术基础(数字部分、模拟部分),高等教育出版社,1998. 4.周泽义.电子技术实验。武汉:武汉理工大学出版社,2001.5 5.梁宗善.《新型集成电路的应用-电子技术基础课程设计》.华中科技大学,2004 6.孙梅生.《电子技术基础课程设计》.高等教育出版社,2005 7.黄继昌,张海贵.《实用单元电路及其应用》.人民邮电出版社,2006 8.王卫东,江晓安.《模拟电子电路基础》.西安电子科技大学出版社,2003 9.华成英、童诗白.模拟电子技术基础.第四版.北京:高等教育出版社,2006.5 2015 年 1 月 3 日

multisim 电路仿真 课程设计

4.1 仿真设计 1、用网孔法和节点法求解电路。 如图4.1-1所示电路: 3Ω (a)用网孔电流法计算电压u的理论值。 (b)利用multisim进行电路仿真,用虚拟仪表验证计算结果。(c)用节点电位法计算电流i的理论值。 (d)用虚拟仪表验证计算结果。 解: 电路图: (a) i1=2 解得 i1=2 5i2-31-i3=2 i2=1 i3=-3 i3=-3 u=2 v (b)如图所示: (c)列出方程 4/3 U1- U2=2 解得 U1=3 v U2=2 v 2A1Ω _ + 1Ω 2V - 3A 图4.1-1 i

2U 1- U 2=2 i=1 A 结果:计算结果与电路仿真结果一致。 结论分析:理论值与仿真软件的结果一致。 2、叠加定理和齐次定理的验证。 如图4.1-2所示电路: (a)使用叠加定理求解电压u 的理论值; (b)利用multisim 进行电路仿真,验证叠加定理。 (c)如果电路中的电压源扩大为原来的3倍,电流源扩大为原来的2倍,使用齐次定理,计算此时的电压u ; (d)利用multisim 对(c )进行电路仿真,验证齐次定理。 电路图: (a ) I 1=2 7 I 2-2 I 1- I 3=0 3 I 3- I 2-2 I 4=0 解得 U 1=7(V ) I 4=-3 U 1 U 1=2(I 1- I 2) 如图所示电压源单独作用时根据网孔法列方程得: 3 I 1-2 I 2- I 3= 4 I 2=-3 U 2 7 I 3 - I 1=0 解得 U 2=9(V ) U 2=4-2 I 3 所以 U= U 1+ U 2=16(V ) (b )如图所示。 2Ω 1Ω 2Ω 4Ω 2A 3u + 4V - + u - 图4.1-2

相关文档
最新文档