数字逻辑与数字集成电路习题

数字逻辑与数字集成电路习题
数字逻辑与数字集成电路习题

《数字逻辑》习题案例(计算机科学与技术专业、信息安全专业)

2004年7月

计算机与信息学院、计算机系统结构教研室

一、选择题

1.十进制数33的余3码为 。

A. 00110110

B. 110110

C. 01100110

D. 100100 2.二进制小数-0.0110的补码表示为 。

A .0.1010

B .1.1001

C .1.0110

D .1.1010 3.两输入与非门输出为0时,输入应满足 。

A .两个同时为1

B .两个同时为0

C .两个互为相反

D .两个中至少有一个为0 4.某4变量卡诺图中有9个“0”方格7个“1”方格,则相应的标准与或表达式中共有多少个与项 ? A . 9 B .7 C .16 D .不能确定 5. 下列逻辑函数中,与A F =相等的是 。

)(A 11⊕=A F )(B A F =2⊙1 )(C 13?=A F )(D 04+=A F

6. 设计一个6进制的同步计数器,需要 个触发器。

)(A 3 )(B 4 )(C 5 )(D 6

7. 下列电路中,属于时序逻辑电路的是 。

)(A 编码器 )(B 半加器 )(C 寄存器 )(D 译码器

8. 列电路中,实现逻辑功能n n Q Q =+1的是 。

)(A )(B

9. 的输出端可直接相连,实现线与逻辑功能。

)(A 与非门 )(B 一般TTL 门 )(C 集电极开路OC 门 )(D 一般CMOS 门

10.以下代码中为无权码的为 。

A . 8421BCD 码

B . 5421BCD 码

C . 余三码

D . 格雷码 11.以下代码中为恒权码的为 。

A .8421BCD 码

B . 5421BCD 码

C . 余三码

D . 格雷码 12.一位十六进制数可以用 位二进制数来表示。 A . 1 B . 2 C . 4 D . 16 13.十进制数25用8421BCD 码表示为 。

A .10 101

B .0010 0101

C .100101

D .10101 14.在一个8位的存储单元中,能够存储的最大无符号整数是 。

CP

Q

CP

Q

CP

Q

CP

A.(256)10

B.(127)10

C.(FF)16

D.(255)10

15.与十进制数(53.5)10等值的数或代码为。

A.(0101 0011.0101)8421BCD

B.(35.8)16

C.(110101.1)2

D.(65.4)8

16.矩形脉冲信号的参数有。

A.周期

B.占空比

C.脉宽

D.扫描期

17.与八进制数(47.3)8等值的数为:

A. (100111.011)2

B.(27.6)16

C.(27.3 )16

D. (100111.11)2

18.常用的B C D码有。

A.奇偶校验码

B.格雷码

C.8421码

D.余三码

19.与模拟电路相比,数字电路主要的优点有。

A.容易设计

B.通用性强

C.保密性好

D.抗干扰能力强20.n个变量的最小项是。

A. n个变量的积项,它包含全部n个变量

B. n个变量的和项,它包含全部n个变量

C. 每个变量都以原变量或者反变量的形式出现,且仅出现一次。

D. n个变量的和项,它不包含全部变量。

21.当描述同步时序电路的最简状态表中含有()个状态时,需要两个触发器。

A. 3 B. 4 C. 2 D. 5

22.组合逻辑电路的结构特点,表现为()。

A.有记忆功能 B.有反馈回路 C.不含记忆元件 D.无反馈回路

23.以下表达式中符合逻辑运算法则的是。

A.C·C=C2

B.1+1=10

C.0<1

D.A+1=1

24. 逻辑变量的取值1和0可以表示:。

A.开关的闭合、断开

B.电位的高、低

C.真与假

D.电流的有、无

25. 当逻辑函数有n个变量时,共有个变量取值组合?

A. n

B. 2n

C. n2

D. 2n

26. 逻辑函数的表示方法中具有唯一性的是。

A.真值表

B.表达式

C.逻辑图

D.卡诺图

27.F=A B+BD+CDE+A D= 。

A.D

A+ B.D

B

)(

+ D.)

B

A+

+

A+

D

(D

B

D

A)

(+ C.)

B

(D

)(

28.逻辑函数F=)

⊕ = 。

A⊕

(B

A

A.B

B.A

C.B

A⊕

A⊕ D.B

29.求一个逻辑函数F的对偶式,可将F中的。

A.·”换成“+”,“+”换成“·”

B.原变量换成反变量,反变量换成原变量

C.变量不变

D.常数中“0”换成“1”,“1”换成“0”

E.常数不变

30.A+BC= 。

A .A+

B B.A+

C C.(A+B)(A+C) D.B+C

31.在输入情况下,“与非”运算的结果是逻辑0。

A.全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1

32.在种输入情况下,“或非”运算的结果是逻辑0。

A.全部输入是0 B.全部输入是1

C.任一输入为0,其他输入为1

D.任一输入为1

33. 三态门输出高阻状态时,是正确的说法。

A.用电压表测量指针不动

B.相当于悬空

C.电压不高不低

D.测量电阻指针不动

34. 以下电路中可以实现“线与”功能的有。

A.与非门

B.三态输出门

C.集电极开路门

D.漏极开路门

35.以下电路中常用于总线应用的有。

A.T S L门

B.O C门

C.漏极开路门

D.C M O S与非门

36.逻辑表达式Y=A B可以用实现。

A.正或门

B.正非门

C.正与门

D.负或门

37.T T L电路在正逻辑系统中,以下各种输入中相当于输入逻辑“1”。

A.悬空

B.通过电阻 2.7kΩ接电源

C.通过电阻 2.7kΩ接地

D.通过电阻510Ω接地

38.对于T T L与非门闲置输入端的处理,可以。

A.接电源

B.通过电阻3kΩ接电源

C.接地

D.与有用输入端并联

39.要使T T L与非门工作在转折区,可使输入端对地外接电阻R I。

A.>R O N

B.<R O F F

C.R O F F<R I<R O N

D.>R O F F

40.三极管作为开关使用时,要提高开关速度,可。

A.降低饱和深度

B.增加饱和深度

C.采用有源泄放回路

D.采用抗饱和三极管

41.C M O S数字集成电路与T T L数字集成电路相比突出的优点是。

A.微功耗

B.高速度

C.高抗干扰能力

D.电源范围宽

42.与C T4000系列相对应的国际通用标准型号为。

A.C T74S肖特基系列

B.C T74L S低功耗肖特基系列

C.C T74L低功耗系列

D.C T74H高速系列

43.N个触发器可以构成能寄存位二进制数码的寄存器。

A.N-1

B.N

C.N+1

D.2N

44.在下列触发器中,有约束条件的是。

A.主从J K F/F

B.主从D F/F

C.同步R S F/F

D.边沿D F/F

45.一个触发器可记录一位二进制代码,它有个稳态。

A.0

B.1

C.2

D.3

E.4

46.存储8位二进制信息要个触发器。

A.2

B.3

C.4

D.8

47.对于T触发器,若原态Q n=0,欲使新态Q n+1=1,应使输入T=。

A.0

B.1

C.Q

D.Q

48.对于T触发器,若原态Q n=1,欲使新态Q n+1=1,应使输入T=。

A.0

B.1

C.Q

D.Q

49.对于D触发器,欲使Q n+1=Q n,应使输入D=。

A.0

B.1

C.Q

D.Q

50.对于J K触发器,若J=K,则可完成触发器的逻辑功能。

A.R S

B.D

C.T

D.Tˊ

51.欲使J K触发器按Q n+1=Q n工作,可使J K触发器的输入端。

A.J=K=0

B.J=Q,K=Q

C.J=Q,K=Q

D.J=Q,K=0

E.J=0,K=Q 52.欲使J K触发器按Q n+1=Q n工作,可使J K触发器的输入端。

A.J=K=1

B.J=Q,K=Q

C.J=Q,K=Q

D.J=Q,K=1

E.J=1,K=Q 53.欲使J K触发器按Q n+1=0工作,可使J K触发器的输入端。

A.J=K=1

B.J=Q,K=Q

C.J=Q,K=1

D.J=0,K=1

E.J=K=1 54.欲使J K触发器按Q n+1=1工作,可使J K触发器的输入端。

A.J=K=1

B.J=1,K=0

C.J=K=Q

D.J=K=0

E.J=Q,K=0 55.欲使D触发器按Q n+1=Q n工作,应使输入D=。

A.0

B.1

C.Q

D.Q

56.下列触发器中,克服了空翻现象的有。

A.边沿D触发器

B.主从R S触发器

C.同步R S触发器

D.主从J K触发器

57.下列触发器中,没有约束条件的是。

A.基本R S触发器

B.主从R S触发器

C.同步R S触发器

D.边沿D触发器

58.描述触发器的逻辑功能的方法有。

A.状态转换真值表

B.特性方程

C.状态转换图

D.状态转换卡诺图

59.为实现将J K触发器转换为D触发器,应使。

A.J=D,K=D

B.K=D,J=D

C.J=K=D

D.J=K=D

60.边沿式D 触发器是一种 稳态电路。

A.无

B.单

C.双

D.多 61.下列表达式中不存在竞争冒险的有 。

A.Y =B +A B

B.Y =A B +B C

C.Y =A B C +A B

D.Y =(A +B )A D

62.若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。

A.5

B.6

C.10

D.50

63.一个16选一的数据选择器,其地址输入(选择控制输入)端有 个。 A.1 B.2 C.4 D.16 64.下列各函数等式中无冒险现象的函数式有 。

A.B A AC C B F ++=

B.B A BC C A F ++=

C.B A B A BC C A F +++=

D.C A B A BC B A AC C B F +++++=

E.B A B A AC C B F +++=

65.函数C B AB C A F ++=,当变量的取值为 时,将出现冒险现象。 A.B =C =1 B.B =C =0 C.A =1,C =0 D.A =0,B =0

66.四选一数据选择器的数据输出Y 与数据输入X i 和地址码A i 之间的逻辑表达式

为Y = 。

A.3X A A X A A X A A X A A 01201101001+++

B.001X A A

C.101X A A

D.3X A A 01

67.一个8选一数据选择器的数据输入端有 个。 A.1 B.2 C.3 D.4 E.8 68.在下列逻辑电路中,不是组合逻辑电路的有 。 A.译码器 B.编码器 C.全加器 D.寄存器 69.八路数据分配器,其地址输入端有 个。 A.1 B.2 C.3 D.4 E.8 70.组合逻辑电路消除竞争冒险的方法有 。

A. 修改逻辑设计

B.在输出端接入滤波电容

C.后级加缓冲电路

D.屏蔽输入信号的尖峰干扰

71.101键盘的编码器输出 位二进制代码。 A.2 B.6 C.7 D.8

72.用三线-八线译码器74L S 138实现原码输出的8路数据分配器,应 。 A.A ST =1,B ST =D ,C ST =0 B. A ST =1,B ST =D ,C ST =D C.A ST =1,B ST =0,C ST =D D. A ST =D ,B ST =0,C ST =0

73.以下电路中,加以适当辅助门电路, 适于实现单输出组合逻辑电路。 A.二进制译码器 B.数据选择器 C.数值比较器 D.七段显示译码器

74.用四选一数据选择器实现函数Y =0101A A A A +,应使 。 A.D 0=D 2=0,D 1=D 3=1 B.D 0=D 2=1,D 1=D 3=0 C.D 0=D 1=0,D 2=D 3=1 D.D 0=D 1=1,D 2=D 3=0

75.用三线-八线译码器74L S 138和辅助门电路实现逻辑函数Y =122A A A +,应 。

A.用与非门,Y =765410Y Y Y Y Y Y

B.用与门,Y =32Y Y

C.用或门,Y =32Y Y +

D.用或门,Y =7

65410Y Y Y Y Y Y +++++

76.同步计数器和异步计数器比较,同步计数器的显著优点是 。 A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟C P 控制。

77.把一个五进制计数器与一个四进制计数器串联可得到 进制计数器。 A.4 B.5 C.9 D.20 78.下列逻辑电路中为时序逻辑电路的是 。

A.变量译码器

B.加法器

C.数码寄存器

D.数据选择器

79. N 个触发器可以构成最大计数长度(进制数)为 的计数器。 A.N B.2N C.N 2 D.2N

80. N 个触发器可以构成能寄存 位二进制数码的寄存器。 A.N -1 B.N C.N +1 D.2N

81.五个D 触发器构成环形计数器,其计数长度为 。 A.5 B.10 C.25 D.32

82.同步时序电路和异步时序电路比较,其差异在于后者 。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 83.一位8421B C D 码计数器至少需要 个触发器。 A.3 B.4 C.5 D.10

84.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同步

二进制计数器,最少应使用 级触发器。 A.2 B.3 C.4 D.8

85.8位移位寄存器,串行输入时经 个脉冲后,8位数码全部移入寄存器中。

A.1

B.2

C.4

D.8

86.用二进制异步计数器从0做加法,计到十进制数178,则最少需要 个触发

器。

A.2

B.6

C.7

D.8

E.10

87.某电视机水平-垂直扫描发生器需要一个分频器将31500H Z 的脉冲转换为60H Z

的脉冲,欲构成此分频器至少需要 个触发器。 A.10 B.60 C.525 D.31500

88.某移位寄存器的时钟脉冲频率为100K H Z ,欲将存放在该寄存器中的数左移8位,

完成该操作需要 时间。

A.10μS

B.80μS

C.100μS

D.800m s

89.若用J K 触发器来实现特性方程为AB Q A Q n 1n +=+,则J K 端的方程为 。 A.J =A B ,K =B A + B.J =A B ,K =B A C.J =B A +,K =A B D.J =B A ,K =A B 90.要产生10个顺序脉冲,若用四位双向移位寄存器CT74LS194来实现,需要 片。 A.3 B.4 C.5 D.10

91.若要设计一个脉冲序列为1101001110的序列脉冲发生器,应选用 个触发器。 A.2 B.3 C.4 D.10 92.PROM 和PAL 的结构是 。

A.P R O M 的与阵列固定,不可编程

B.P R O M 与阵列、或阵列均不可编程

C.P A L 与阵列、或阵列均可编程

D.P A L 的与阵列可编程

93.当用专用输出结构的P A L 设计时序逻辑电路时,必须还要具备有 。 A.触发器 B.晶体管 C.M O S 管 D.电容

94.当用异步I /O 输出结构的P A L 设计逻辑电路时,它们相当于 。 A. 组合逻辑电路 B.时序逻辑电路 B. C.存储器 D.数模转换器 95.P L D 器件的基本结构组成有 。

A. 与阵列

B.或阵列

C.输入缓冲电路

D.输出电路 96.P L D 器件的主要优点有 。

A. 便于仿真测试

B.集成密度高

C.可硬件加密

D.可改写 97.G A L 的输出电路是 。

A.O L M C

B.固定的

C.只可一次编程

D.可重复编程 98.P L D 开发系统需要有 。

A.计算机

B.编程器

C.开发软件

D.操作系统 99.只可进行一次编程的可编程器件有 。 A.P A L B.G A L C.P R O M D.P L D 100.可重复进行编程的可编程器件有 。

A.P A L

B.G A L

C.P R O M

D.I S P -P L D

二、填空题

1.

十六进制数3A.B 对应的八进制数是 。 2. 十进制数 7.125对应的二进制数是 。 3. 要使异或门输出为0,必须令两个输入 。 4.

n 个变量的全部最小项相“或”为 。

5. 逻辑函数F=A AB +B 的对偶函数F ′=___________________。

6. 一个门电路的输出端所能连接的下一级门电路输入端的个数称为该门电路的

7. 时序逻辑电路中,输出信号仅是当前状态的函数,而与________________无

关的电路称为Moore (摩尔)型时序电路。

8. 一个由n 变量构成的最小项有 个相邻最小项 9. 一个8路数据选择器有 个输入选择控制端。 10. 构造一个同步模8计数器需要 个触发器。 11. (48)10 =(_________)16 =(______________)2 。

12. 集成触发器三种结构: 、 的和 。

13. 函数

的反函数 = 。

14. 时序逻辑电路的功能表示方法有: 、 、

和 。

15. N 级环形计数器的计数长度是 ,N 级扭环计数器的计数长度是 。 16. 寄存器按照功能不同可分为两类: 寄存器和 寄存器。 17. 数字电路按照是否有记忆功能通常可分为两类: 、 。 18. 由四位移位寄存器构成的顺序脉冲发生器可产生 个顺序脉冲

19. 时序逻辑电路按照其触发器是否有统一的时钟控制分为 时序电路和

时序电路。

20. 触发器有 个稳态,存储8位二进制信息要 个触发器. 21. 一个基本R S 触发器在正常工作时,它的约束条件是

R +S =1,则它不允许输入

S = 且R = 的信号。

22. 触发器有两个互补的输出端Q 、

Q ,定义触发器的

1状态为 ,0

状态为 ,可见触发器的状态指的是 端的状态.

23. 一个基本R S 触发器在正常工作时,不允许输入R =S =1的信号,因此它的约束

条件是 。

24. 在一个C P 脉冲作用下,引起触发器两次或多次翻转的现象称为触发器

的 ,触发方式为 式或 式的触发器不会出现这种现象.

25. 逻辑代数又称为 代数。最基本的逻辑关系有 、 、 三种。常用

的几种导出的逻辑运算为 、 、 、 、 。 26. 逻辑函数的常用表示方法有 、 、 。

27. 逻辑代数中与普通代数相似的定律有 、 、 。摩根定律又称为 。 28. 逻辑代数的三个重要规则是 、 、 。 29. 逻辑函数F=A +B+C D 的反函数F = 。 30. 逻辑函数F=A (B+C )·1的对偶函数是 。 31. 添加项公式AB+A C+BC=AB+A C 的对偶式为 。 32. 逻辑函数F=A B C D +A+B+C+D= 。 33. 逻辑函数F=AB B A B A B A +++= 。

34. 已知函数的对偶式为B A +BC D C +,则它的原函数为 。

35. 同一逻辑函数的两种逻辑表达式中的最大项 Mi 与最小项 mi 之间的关系有 Mi=___ ,

Mi+mi=___。 36. 多变量异或运算时,若

,则 Xi=1 的个数必为 ___数。(奇或偶)

37. 七段译码器的输入是 ____ 码 。

38. 在多路复用器中, s 个输入用于选择 N 个数据源,则 s= ____

39. 带使能输入的 ____ 可以用做多路分配器。 40. 若 JK 触发器 Q*=Q' ,则输入( J , K ) = _____ 。如果用 D 触发器完成相同功能,则 D= _____ 。 41. 时序电路又被称作有限状态机,并且可以进一步划分为 _____ 机和 _____ 机。 42. ____方程将触发器的下一状态定义为触发器当前状态和输入的函数。 43. 一个具有 n 个触发器的机器中,状态的总数为 _____ 。

44. 设计一个模 65 的同步计数器,至少需要 _____ 个触发器. 45. 产生序列 11101000 ,至少需要 _____ 个触发器。

46. 在状态图中,只要包含有 _____ 的时序电路都可称为计数器. 47. 一个 _____ 触发器就是一个一位的二进制计数器。

48. 已知m 序列信号发生器的反馈函数f (Q )=Q 3⊕ Q 4,则其循环长度(序列长度)S= 。 49. F (A ,B ,C ,D )=1,其最小项表达式F=Σm (______________)。 50. 函数

,其反函数=_______________;对偶式F *

=____________。

51. RAM 与ROM 的区别是 。

52. 动态存储单元为不丢失信息,必须 。

53. 将D 触发器的D 端连在 端上,假设Q (t )=0,则经过100个脉冲作用后,它的次态Q(t+100)

为_________________________。

54. 已知一个最长线性序列码发生器的反馈函数是F (Q )=Q 5Q 6,试求:序列码的长度S= ;

需用触发器的个数N= 。

55. RAM 的优点是__________,___________;缺点是___________,它是______存储器。

56. 由于R-S 触发器有_________个稳态,因此它可记录_________________位二进制码。若存储一字

节二进制信息,需要_____________个触发器。

57. 组合电路与时序电路的主要区别: 。 58. PAL 的与阵列_____编程,或阵列_____编程。

59. 将逻辑函数B A B A F ⊕=) , (化成最小项之和的标准形式:

∑=i m B A F ) , ((i = )。

60. 三态门的输出端有三种可能出现的状态: 、 和 。 61. 写出T 触发器的特征方程: 。 62. 衡量存储器性能的两个重要指标是 和 。

63. 与模拟信号相比,数字信号的特点是它的 性。一个数字信号只有 种

取值分别表示为 和 。

64. 布尔代数中有三种最基本运算: 、 和 ,在此基础上又派生出四种基本

运算,分别为 、 、 和 。

65. EPROM 是 可编程只读存储器,EEPROM 是 可编程只读存储器。 66. FPGA 是指 ,它是一种 密度的可编程逻辑器件。 67. GAL 是指 ,ISP 是指 。

68. 函数式F=AB+BC+CD 写成最小项之和的形式结果应为∑m ( ),

写成最大项之积的形式结果应为∏M ( )。 69. 判断下列逻辑运算说法是否正确。 (1) 若X+Y=X+Z ,则Y=Z ;( ) (2) 若XY=XZ ,则Y=Z ;( )

(3) 若X ⊕ Y=X ⊕ Z ,则Y=Z ;( )

70. 组合逻辑电路的特点是任意时刻的 状态仅取决于该时刻的

状态,而与信号作用前电路的状态 。

71. 组合逻辑电路在结构上不存在输出到输入的 , 因此 状态不影

响状态。

72.数据分配器的结构与相反,它是一种输入,输出的逻辑电路。从

哪一路输出取决于。

73.一个十六路数据选择器,其地址输入端有个。

74.设A0、A1 为四选一数据选择器的地址码,X0~X3 为数据输入,Y 为数据输出,

则输出Y 与数据输入和地址码的关系为。

75.描述触发器的逻辑功能的方法有;;;

76.将基本RS 触发器的S 和Q、R 和Q端相连成新的触发器,其特征方程是。

77.若D 触发器的D 端连在Q端上,经100 个脉冲作用后,其次态为0,则现为。

78.SD 和RD 为触发器的异步置1 和置0 端,若触发器异步置0,须使SD= ,

RD= ,而与和无关。

79.对于JK 触发器,若J=K,则可完成触发器的逻辑功能;若K = J,

则可完成触发器的逻辑功能。

80.时序逻辑电路一般由和两步分组成的。时序逻辑电路的特点是某一时刻的

状态不仅取决于该时刻的,而且与信号作用前电路的状态。

81.时序逻辑电路在结构上存在输出到输入的,因此,状态会

影响状态。

82.时序逻辑电路分为两类:和。其中有一个统一

的时钟脉冲源,存储电路里所有的状态变化,都在同一个时钟脉冲CP

作用下同时发生;而没有统一的时钟脉冲。

83.时序逻辑电路中的存储电路受时钟控制的组成。

84.同步时序逻辑电路中,所有触发器状态的变化都是在操作下

进行的;异步时序逻辑电路中,各触发器的时钟信号,因而触发器

状态的变化并不都是发生的,而是。

85.全面描述一时序逻辑电路的功能,必须使用三个方程式。它们

是、、 .

86.为了把时序电路的逻辑功能直观、形象地显示出来,有时需要把有输出方程、状态

方程和控制方程表示的逻辑关系表示成、、或的形式。

87.触发器有个稳定状态,它可以记录位二进制码,存储8 位二进制信息需要

个触发器。

88.用来表示时序电路状态转换规律的输入、输出关系的有向图称为,计数器中有效状态的数

目称为计数器的。

89.模为2 的正整数次幂的二进制递增计数器,若从其反向输出端Q输出,则得同模计数器。

90.计数器的功能是,它是用电路的来表示计数值。计数器的模是指。

91.按计数进位制计数器可分为和两类。按进位方式计数器可分为和

两类。按逻辑功能计数器可分为、和等

92.在各种寄存器中,存放N 位二进制数码需要个触发器。

93.用反馈移位寄存器产生11101000 序列,至少需要个触发器。

94.n 级反馈移位寄存器的状态数是。

95.有一个移位寄存器,高位在左,低位在右,欲将存放在该移位寄存器中的二进制数乘上十进制数4,

则需将该移位寄存器中的数左移位,需要个移位脉冲。

96.逻辑系统包括、和三部分组成。

97.将一个包含有32768 个基本单元的存储电路设计成4096 个字节的RAM,则该RAM 有根数据

线,根地址线。

98.有一个容量为256× 4 位的RAM,该RAM 有个基本存储单元,该RAM 每次访问个

基本存储单元,该RAM 有根地址线。

99.将一个包含有16384 个基本单元的存储电路设计设计成8 位为一个字节的

ROM,该ROM 有个地址,个数据读出线。

100.半导体存储器从存取功能上可以分为存储器和存储器。存储器容量的扩展方式有和两种。

三、综合题。

1、用卡诺图法化简下列各式。

2、利用与非门实现下列函数,并画出逻辑图。

3、分析下图所示的逻辑电路,写出表达式并进行简化。

4、分析下图所示的逻辑电路,写出表达式并进行简化。

5、分析下图所示逻辑电路,其中S3、S2、S1、S0为控制输入端,列出真值表,说明F与A、B 的关系。

6、分析下图所示逻辑电路,列出真值表,说明其逻辑功能。

7、右图所示为数据总线上的一种判零电路,写出F的逻辑表达式,说明该电路的逻辑功能。

8、分析下图所示逻辑电路,列出真值表,说明其逻辑关系。

9、下图所示为两种十进制数代码转换器,输入为余三码,问:输出为什么代码?

10、下图是一个受M 控制的4位二进制码和格雷码的相互转换电路。

M=1 时,完成自然二进制码至格雷码转换;

M=0 时,完成相反转换。请说明之。

11、在有原变量又有反变量的输入条件下,用与非门设计实现下列函数的组合电路:

12、设输入既有原变量又有反变量,用与非门设计实现下列函数的多输出电路。

13、设输入既有原变量又有反变量,用或非门设计实现下列函数的组合电路:

14、设输入只有原变量而无反变量,试用最少的三级与非门实现下列函数:

15、设输入只有原变量没有反变量,试用或非门实现下列函数组合电路:

16、已知输入信号A,B,C,D的波形如下图所示,选择适当的集成逻辑门电路,设计产生输出F波形的组合电路(输入无反变量)。

17、用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯亮表示有一台不正常;黄灯亮表示有两台不正常;红、黄灯全亮表示三台都不正常。列出控制电路真值表,并选出合适的集成电路来实现。

18、用八选一数据选择器实现下列函数:

19、用两片双四选一数据选择器和与非门实现循环码至8421BCD码转换。

20、设计二进制码/格雷码转换器。输入为二进制码B3B2B1B0,输出为格雷码,EN为使能端,EN=0时执行二进制码→格雷码转换; EN=1时输出为高阻。

21、设计一个以10为模的补码产生器。N为0~9中的一个数符,C为N的补码,N和C均为8421BCD码,EN 为使能端。

22、设计一个血型配比指示器。输血时供血者和受血者的血型配对情况如图所示。要求供血者血型和受血者血型符合要求时绿灯亮;反之,红灯亮。

23、下图是一种两拍工作寄存器的逻辑图,即每次在输入数据之前必须先置“清0”信号,然后接收控制信号有效,此时将数据存入寄存器。

(1)若不按两拍方式工作,即取消“清0”信号,则当D2D1D0=100→001→010时,输出Q2Q1Q0将如何变化?

(2)为使电路正常工作,“清0”信号与“接收控制”信号应如何配合?画出这两种信号的正确时间关系。(3)若采用单拍方式工作,提出寄存器的改进方案。

24、分析下图所示同步计数电路,作出状态转移表和状态图,并画出在时钟作用下各触发器输出的波形。

25、用D触发器构成按循环码(000→001→011→111→101→100→000)规律工作的六进制同步计数器。

26、分析下图所示同步时序逻辑电路,作出状态转移表和状态图,说明它是Mealy型电路还是Moore型电路以及电路的功能。

27、分析下图所示同步时序逻辑电路,作出状态转移表和状态图,说明这个电路能对何种序列进行检测?

28、作“101”序列信号检测器的状态表,凡收到输入序列101时,输出为 1 ;并规定检测的101序列不重叠。

29、同步时序电路对串行二进制输入进行奇偶校验,每检测5位输入,输出一个结果:当5位输入中1 的数目为奇数时,在最后一位的时刻输出1。作出状态图和状态表。

30、某时序机状态图如下所示,用“计数器法”设计该电路。

31、用EPROM实现下列多输出函数,画出阵列图。

32、试用EPROM实现8421 BCD码至余三码的转换。

33、用FPLA实现下列多输出函数,画出阵列图。

34、用FPLA实现下列多输出函数,画出阵列图。

35、用时序FPLA设计一个循环码十进制计数器。要求设计优化,即FPLA的面积尽可能小。

36、用卡诺图化简逻辑函数:F(A,B,C,D)=∑m(2,3,9,11,12)+∑d(5,6,7,8, 10,13) ,求出最简“与-或”表达式和最简“或-与”表达式。

37、用卡诺图化简逻辑函数:(用代数法)。

38、证明:如果,且则A = B。

39、设计一个“001/010”序列检测器。该电路有一个输入x和一个输出Z,当随机输入信号中出现“001”或者“010”时,输出Z为1,平时输出Z为0。

典型的输入、输出序列如下:

x: 1 0 0 1 0 1 0 0 1 1

Z:0 0 0 1 0 0 1 0 0 0

请给出该Mealy电路的原始状态图和原始状态表。

40、设计一个巴克码信号发生器,要求自动产生周期性的1110010的信号序列,要求用D触发器和逻辑门来实现。(15分)

1110010,1110010,1110010,1110010

41、设计1110序列检测器的状态转换图,并求出最简状态转换表。

习题案例答案一、选择题

1.C 2.D 3.A 4.B

5. B

6. A

7. C

8. A

9. C 10.CD 11.AB 12.C 13.B 14.CD 15.ABCD 16.ABC 17.AB 18.CD 19.BCD 20.AC 21.AB 22.CD 23.D

24. ABCD

25. D 26. AD

27. AC

28. A

29. ACD

30. C

31. D

32. BCD

33. ABD

34. CD

35. A

36. CD

37. ABC

38. ABD

39. C

40. ACD

41.ACD

42.B

43.B

44.C

45.C

46. D

47.BD

48.AD

49.C

50.C

51.ABDE

52.ACDE

53.BCD

54.BCE

55.D

56.ABD

57.D

58.ABCD

59.A

60.C

61. CD

62. B

63.C

64.D

65.ACD

66.A

67.E

68.D

69.C

70.AB

71.C

72.ABC

73.AB

74.A

75.AB

76. A

77. D

78. C

79. D

80. B

81.A

82.B

83.D

86.A

87.B

88.AB

89.A

91. C

92. AD

93. A

94.A

95.ABCD

96.ABCD

97. AD

98.ABCD

99. AC

100.BD

二、填空题

1. 72.56 2. 111.001 3. 相同 4. 1

5.)(B A +)(B A +

6. 扇出系数 7. 输入信号 8. n 9. 3 10.3

11.30,110000

12. 主从结构,边沿结构,维持-阻塞结构

13.

14. 状态表、状态图和次态表达式和输出函数。 15. N, 2N 16. 移位 数码

17. 组合逻辑电路 时序逻辑电路 18. 4 19.同步 异步 20 2 8 21.0 0 22.Q =1、

Q =0 Q =0、Q =1 Q

23.R S =0

24.空翻 主从式 边沿

25.布尔 与 或 非 与非 或非 与或非 同或 异或 26.逻辑表达式 真值表 逻辑图

27.交换律 分配律 结合律 反演定律 28.代入规则 对偶规则 反演规则

29.A B (C+D ) 30.A+BC+0

31.(A+B )(A +C )(B+C )=(A+B )(A +C ) 32.1 33.0

34.)()(C B D C B A +?+?+ 35 . mi' 、 1 36. 偶

37. 4 位 BCD 38. log 2 N ,

39. 二进制译码器 40. ( 1 , 1 )、 Q' 41. Mealy 、 Moore , 42. 特征

43. 2

n

44. 7 45. 3

46. 一个循环 47. T

48. 15 49. 0,1,,2,……,15 50. =

;对偶式F *

=

51 RAM 中的信息既可读出又可写入,掉电后信息便消失;而ROM 中的信息只能读出,不能写入,信息可永久保存

52 定期刷新 53. 0

54. 63, 6

55. 读写方便,使用灵活;断电后原存于RAM 的信息丢失,易失性 56. 2, 1, 8 57. 有无记忆功能

58.与阵列可编程,或阵列不可编程 59. 1,2

60. 高电平 低电平 高阻

61. T Q Q

n ⊕=+1

62. 存储量 存取速度

63. 离散,1,0

64. 与,或,非,与非,与或,或非,与或非 65.一次,多次

66. 现场可编程门阵列

67 通用逻辑阵列 在系统编程 68.

∑m (16 , 14 , 13 , 12 , 11 , 7 , 6 , 3), ∑M (10 , 9 , 8 ,

5 , 4 , 2 , 1)

69. × ,× ,√ 70. 输出,输入,无关

71. 反馈回路,输出,输入

72. 数据选择器,一路,多路,地址控制器 73. 四

74

数字电路与逻辑设计试题及答案(试卷A)

《数字集成电路基础》试题A (考试时间:120分钟) 班级: 姓名: 学号: 成绩: 一、填空题(共20分) 1. 数字信号的特点是在 上和 上都是断续变化的,其高电平和低电平常 用 和 来表示。 2. 常用的BCD 码有 、 、 等,常用的可靠性代码有 、 等。 3. 将十进制数45转换成8421码可得 。 4. 同步RS 触发器的特性方程为Q n+1=__________;约束方程为 。 5. 数字电路按照是否有记忆功能通常可分为两类: 、 。 6. 当数据选择器的数据输入端的个数为8时,则其地址码选择端应有 位。 7.能将模拟信号转换成数字信号的电路,称为 ;而将能把数字信号转换成模拟信号的电路称为 。 8.时序逻辑电路按照其触发器是否有统一的时钟控制分为 时序电路和 时序电路。 9. 两片中规模集成电路10进制计数器串联后,最大计数容量为 位。 二、单项选择题(共 20分) 1. 对于四位二进制译码器,其相应的输出端共有 。 A . 4个 B. 16个 C. 8个 D. 10个 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为 。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3. 图2.1所示是 触发器的状态图。 A. SR B. D C. T D. T ˊ 4.在下列逻辑电路中,不是组合逻辑电路的有 。 A.译码器 B.编码器 C.全加器 D.寄存器 图2.1

5.欲使D触发器按Q n+1=Q n工作,应使输入D= 。 A. 0 B. 1 C. Q D. Q 6.多谐振荡器可产生。 A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 7. N个触发器可以构成最大计数长度(进制数)为的计数器。 A.N B.2N C.N2 D.2N 8.随机存取存储器具有功能。 A.读/写 B.无读/写 C.只读 D.只写 9.只读存储器ROM中的内容,当电源断掉后又接通,存储器中的内容。 A.全部改变 B.全部为0 C.不可预料 D.保持不变 10. 555定时器构成施密特触发器时,其回差电压为。 A.VCC B. 1/2VCC C. 2/3VCC D. 1/3VCC 三、设计题 (共20分) 1、有一水箱由大、小两台水泵M L 和M S 供水,如图3.1所示,箱中设置了3 个水位检测元件A、B、C。水面低于检测元件时,检测元件给出高电平;水面高于检测元件时,检测元件给出低电平。现要求当水位超过C点时水泵停止工作;水位 低于C点而高于B点时M S 单独工作;水位低于B点而高于A点时M L 单独工作;水位 低于A点时M L 和M S 同时工作。试用门电路设计一个控制两台水泵的逻辑电路,要求 电路尽量简单。 图3.1

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

数字逻辑期末复习题

一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++= 功能相等的表达式为 ___C_____。 A . D C B A F +++= B . D C B A F +++=

C . D C B A F = D .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 Q 的频率为_____D_____。 . 100KHz D .50KHz 9.下列器件中,属于时序部件的是_____A_____。 A . 计数器 B . 译码器 C . 加法器 D .多路选择器 10.下图是共阴极七段LED 数码管显示译码器框图,若要显示字符“5”,则译码器输出a ~g 应为____C______。 A . 0100100 B .1100011 C . 1011011 D .0011011

数字逻辑第五章课后习题答案

数字逻辑第五章课后习题答案 5-1、解:(1) 列出电路的激励函数和输出函数表达式: 1111J K CP CP ==??=? 22321,1J Q K CP Q ?==??=?? 323331 ,1 J Q Q K CP Q ?==?? =?? Q 1n+1); Q 2n+1); Q 3 n+1) (2) (4) 功能描述:由状态图可知,此电路为一带自启动能力的六进制计数器。 1 2 3 4 5 6 7 8 CP Q 1 Q 2 Q 3 时间图

5-2、解:表5.29所示为最小化状态表,根据状态分配原则,无“列”相邻(行相邻在脉冲异步时序电路中不适用。),在“输出”相邻中,应给AD、AC分配相邻代码。取A为逻辑0,如下卡诺图所示,状态赋值为:A=00,B=11;C=01;D=10。于是,二进制状态表 如下,根据D触发器的激励表可画出CP2、D2、CP1、D1、Z的卡诺图, 二进制状态表 状态编码 D触发器的激励表

5-3、解: 原始状态图 5-4、解:(1)写出电路的激励函数和输出函数表达式: Y 2=x 2+x 12x 1(2)作状态流程表: (3)作时间图:

设输入状态x2x1的变化序列为00 01 11 10 00 10 11 01.初始总态为(x2x1,y2y1)=(00,00). 从本题的状态流程表推演出总响应序列为 总态响应序列表 x2 x1 y2 y1 Z 时间图 (4)电路功能:当输入状态x2x1的变化序列为01 11 10 00时,电路输出高电平1,其余情况输出低电平0.因此,该电平异步时序电路为01 11 10 00序列检测器。 5-5、解: 时间图如下

5大规模数字集成电路习题解答

自我检测题 1.在存储器结构中,什么是“字”什么是“字长”,如何表示存储器的容量 解:采用同一个地址存放的一组二进制数,称为字。字的位数称为字长。习惯上用总的位数来表示存储器的容量,一个具有n字、每字m位的存储器,其容量一般可表示为n ×m位。 2.试述RAM和ROM的区别。 解:RAM称为随机存储器,在工作中既允许随时从指定单元内读出信息,也可以随时将信息写入指定单元,最大的优点是读写方便。但是掉电后数据丢失。 ROM在正常工作状态下只能从中读取数据,不能快速、随时地修改或重新写入数据,内部信息通常在制造过程或使用前写入, 3.试述SRAM和DRAM的区别。 解:SRAM通常采用锁存器构成存储单元,利用锁存器的双稳态结构,数据一旦被写入就能够稳定地保持下去。动态存储器则是以电容为存储单元,利用对电容器的充放电来存储信息,例如电容器含有电荷表示状态1,无电荷表示状态0。根据DRAM的机理,电容内部的电荷需要维持在一定的水平才能保证内部信息的正确性。因此,DRAM在使用时需要定时地进行信息刷新,不允许由于电容漏电导致数据信息逐渐减弱或消失。 4.与SRAM相比,闪烁存储器有何主要优点 解:容量大,掉电后数据不会丢失。 5.用ROM实现两个4位二进制数相乘,试问:该ROM需要有多少根地址线多少根数据线其存储容量为多少 解:8根地址线,8根数据线。其容量为256×8。 6.简答以下问题: (1)CPLD和FPGA有什么不同 FPGA可以达到比 CPLD更高的集成度,同时也具有更复杂的布线结构和逻辑实现。FPGA 更适合于触发器丰富的结构,而 CPLD更适合于触发器有限而积项丰富的结构。 在编程上 FPGA比 CPLD具有更大的灵活性;CPLD功耗要比 FPGA大;且集成度越高越明显;CPLD比 FPGA有较高的速度和较大的时间可预测性,产品可以给出引脚到引脚的最大延迟时间。CPLD的编程工艺采用 E2 CPLD的编程工艺,无需外部存储器芯片,使用简单,保密性好。而基于 SRAM编程的FPGA,其编程信息需存放在外部存储器上,需外部存储器芯片 ,且使用方法复杂,保密性差。 (2)写出三家CPLD/FPGA生产商名字。 Altera,lattice,xilinx,actel 7.真值表如表所示,如从存储器的角度去理解,AB应看为地址,F0F1F2F3应看为数据。 表

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

《数字逻辑与数字系统》期末考试试题

北京XX 大学2006——2007学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 一、选择题(每小题1分,共10分。) .卡诺图如图1所示,电路描述的逻辑表达式F=( )。 A. ∑m(1,2,4,5,9,10,13,15) B. ∑m(0,1,3,4,5,9,13,15) C. ∑m(1,2,3,4,5,8,9,14) D. ∑m(1,4,5,8,9,10,13,15) .在下列逻辑部件中,不属于组合逻辑部件的是( )。 A. 译码器 B. 锁存器 C.编码器 D.比较器 .八路数据选择器,其地址输入端(选择控制端)有( )个。 A. 8 B. 2 C. 3 D. 4 .将D 触发器转换为T 触发器,图2所示电路的虚框 )。 A. 或非门 B. 与非门 C. 异或门 D. 同或门 图2

A. 2n B. 2n C. n D. 2n-1 6.GAL 是指( )。 A.随机读写存储器 B.通用阵列逻辑 C.可编程逻辑阵列 D. 现场可编程门阵列 7.EPROM 的与阵列( ),或阵列( )。 A. 固定、固定 B. 可编程、固定 C. 固定、可编程 D. 可编程、可编程 8.在ispLSI 器件中,GRP 是指( )。 A. 通用逻辑块 B. 输出布线区 C. 输入输出单元 D.全局布线区 9. 双向数据总线可以采用( )构成。 A.三态门 B. 译码器 C.多路选择器 D.与非门 10.ASM 流程图是设计( )的一种重要工具。 A. 运算器 B. 控制器 C.计数器 D. 存储器 二、填空题(每小题2分,共20分) 1. 图3所示加法器构成代码变换电路,若输入信号B 3B 2B 1B 0为8421BCD 码,则输出端 S 3S 2S 1S 0为______________________代码。 2. 2:4译码器芯片如图4所示。欲将其改为四路分配器使用,应将使能端G 改为 ___________________,而地址输入端A 、B 作为_________________________。 3. 门电路的输入、输出高电平赋值为逻辑_________,低电平赋值为逻辑________,这种关系为负逻辑关系。 4. 组合逻辑电路的输出只与当时的________状态有关,而与电路_______的输入状态无关。 5.译码器实现___________________译码,编码器实现___________________译码。

数字逻辑习题及答案.

数字逻辑习题及答案 一. 填空题 1.一个触发器有Q和Q两个互补的输出引脚,通常所说的触发器的输出端是指 Q ,所谓置位就是将输出端置成 1 电平,复位就是将输出端置成 0 电平。 2.我们可以用逻辑函数来表示逻辑关系,任何一个逻辑关系都可以表示为逻辑函数的与或表达式,也可表示为逻辑函数的或与表达式。 3.计数器和定时器的内部结构是一样的,当对不规则的事件脉冲计数时,称为计数器,当对周期性的规则脉冲计数时,称为定时器。 4.当我们在计算机键盘上按一个标为“3”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为 33H 。 5.在5V供电的数字系统里,所谓的高电平并不是一定是5V,而是有一个电压范围,我们把这个电压范围称为高电平噪声容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平噪声容限。 二. 选择题 1.在数字系统里,当某一线路作为总线使用,那么接到该总线的所有输出设备(或器件)必须具有 b 结构,否则会产生数据冲突。 a. 集电极开路; b. 三态门; c. 灌电流; d. 拉电流2.TTL集成电路采用的是 b 控制,其功率损耗比较大;而MOS 集成电路采用的是 a 控制,其功率损耗比较小。 a. 电压; b.电流; c. 灌电流; d. 拉电流 3.欲将二进制代码翻译成输出信号选用 b ,欲将输入信号编成二进制代码选用 a ,欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用 c ,

欲实现两个相同位二进制数和低位进位数的相加运算选用 e 。 a. 编码器; b. 译码器; c. 多路选择器; d. 数值比较器; e. 加法器; f. 触发器; g. 计数器; h. 寄存器 4. 卡诺图上变量的取值顺序是采用 b 的形式,以便能够用几何 上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII 码; d. 十进制码 5. 根据最小项与最大项的性质,任意两个不同的最小项之积为 0 ,任意两个不同的最大项之和为 1 。 a. 不确定; b. 0 ; c. 1 三. 简答题 1.分别写出(或画出)JK 、D 、T 和T ’四个触发器的特征方程、真 值表和状态转换图。 2.请分别完成下面逻辑函数的化简。 1). )DE C B A (*)E D )(C B A (F ++++++= 答:原式)DE C B A (*)]E D ()C B A ([+++++++= )DE )C B A ((*))DE )C B A ((++++++=)) C B A ()C B A ((DE DE )C B A ()C B A (+++++++++++= DE = 2). )EH D B A )(B A )(C A )(C B A (F +++++++= 答:原式的对偶式为: ) H E (ABD AB AC C AB 'F ++++= ))H E (BD B C C B (A ++++=)] H E (BD B B C [A ++++==A A )'A ()''F (===∴原式 3.请分别说明A/D 与D/A 转换器的作用,说明它们的主要技术指标, 并进一步说明在什么情况下必须在A/D 转换器前加采样·保持电路。 答:A/D 与D/A 转换器分别能够将模拟量转换成数字量与数字量转换 成模拟量,通过这样的转换电路,能够将模拟系统和数字系统联

《超大规模集成电路设计》考试习题(含答案)完整版分析

1.集成电路的发展过程经历了哪些发展阶段?划分集成电路的标准是什么? 集成电路的发展过程: ?小规模集成电路(Small Scale IC,SSI) ?中规模集成电路(Medium Scale IC,MSI) ?大规模集成电路(Large Scale IC,LSI) ?超大规模集成电路(Very Large Scale IC,VLSI) ?特大规模集成电路(Ultra Large Scale IC,ULSI) ?巨大规模集成电路(Gigantic Scale IC,GSI) 划分集成电路规模的标准 2.超大规模集成电路有哪些优点? 1. 降低生产成本 VLSI减少了体积和重量等,可靠性成万倍提高,功耗成万倍减少. 2.提高工作速度 VLSI内部连线很短,缩短了延迟时间.加工的技术越来越精细.电路工作速度的提高,主要是依靠减少尺寸获得. 3. 降低功耗 芯片内部电路尺寸小,连线短,分布电容小,驱动电路所需的功率下降. 4. 简化逻辑电路 芯片内部电路受干扰小,电路可简化. 5.优越的可靠性 采用VLSI后,元件数目和外部的接触点都大为减少,可靠性得到很大提高。 6.体积小重量轻 7.缩短电子产品的设计和组装周期 一片VLSI组件可以代替大量的元器件,组装工作极大的节省,生产线被压缩,加快了生产速度. 3.简述双阱CMOS工艺制作CMOS反相器的工艺流程过程。 1、形成N阱 2、形成P阱 3、推阱 4、形成场隔离区 5、形成多晶硅栅 6、形成硅化物 7、形成N管源漏区 8、形成P管源漏区 9、形成接触孔10、形成第一层金属11、形成第一层金属12、形成穿通接触孔13、形成第二层金属14、合金15、形成钝化层16、测试、封装,完成集成电路的制造工艺 4.在VLSI设计中,对互连线的要求和可能的互连线材料是什么? 互连线的要求 低电阻值:产生的电压降最小;信号传输延时最小(RC时间常数最小化) 与器件之间的接触电阻低 长期可靠工作 可能的互连线材料 金属(低电阻率),多晶硅(中等电阻率),高掺杂区的硅(注入或扩散)(中等电阻率)

数字逻辑考题及答案解析

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分

数字逻辑期末考试题

数字逻辑考试题 数字逻辑考试题(一) 一、填空(共17分,每空1分) 1. (1011.11)B =( ) D =( )H 2. (16)D =( )8421BCD 码。 3. 三态门的输出有 、 、 三种状态。 6. ABC C B A Y =),,( 的最简式为Y= 。 7. 由n 位寄存器组成的扭环型移位寄存器可以构成 进制计数器。 10. 四位环型计数器初始状态是1000,经过5个时钟后状态为 。 11. 在RS 、JK 、T 和D 触发器中, 触发器的逻辑功能最多。 12. 设一个包围圈所包围的方格数目为S ,消去的变量数目为N ,那么S 与N 的关系式应是 。 13. 在卡诺图化简逻辑函数时,圈1求得 的最简与或式,圈0求得 的最简与或式。 二、选择(共10分,每题1分) 1. DE BC A Y +=的反函数为Y =( )。 A. E D C B A Y +++?= B. E D C B A Y +++?= C. )(E D C B A Y +++?= D. )(E D C B A Y +++?= 3. 十进制数25用8421BCD 码表示为( )。 A. 10101 B. 0010 0101 C. 100101 D. 10101 4. 若用1表示高电平,0表示低电平,则是( )。 A. 正逻辑 B. 负逻辑 C. 正、负逻辑 D. 任意逻辑 5. 下逻辑图的逻辑表达式为( )。 A. AC BC AB Y = B. BC AC AB Y ++= C. BC AC AB Y ++= D. BC AC AB Y = 6. 三态门的逻辑值正确是指它有( )。 A. 1个 B. 2个 C. 3个 D. 4个 9. 组合逻辑电路在电路结构上的特点下列不正确的是( )。 A. 在结构上只能由各种门电路组成 B. 电路中不包含记忆(存储)元件 C. 有输入到输出的通路 D. 有输出到输入的反馈回路 10. 已知74LS138译码器的输入三个使能端(E 1=1,022==B A E E )时,地址码A 2A 1A 0=011,则输 出07~Y Y 为( )。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 三 、简答题(共15分,每题5分)

数字逻辑课本习题答案

习题五 1. 简述时序逻辑电路与组合逻辑电路的主要区别。 解答 组合逻辑电路:若逻辑电路在任何时刻产生的稳定输出值仅仅取决于该时刻各输入值的组合,而与过去的输入值无关,则称为组合逻辑电路。组合电路具有如下特征: ①由逻辑门电路组成,不包含任何记忆元件; ②信号是单向传输的,不存在任何反馈回路。 时序逻辑电路:若逻辑电路在任何时刻产生的稳定输出信号不仅与电路该时刻的输入信号有关,还与电路过去的输入信号有关,则称为时序逻辑电路。时序逻辑电路具有如下特征: ○1电路由组合电路和存储电路组成,具有对过去输入进行记忆的功能; ○2电路中包含反馈回路,通过反馈使电路功能与“时序”相关; ○3电路的输出由电路当时的输入和状态(过去的输入)共同决定。 2. 作出与表1所示状态表对应的状态图。 表1 状态表 现态y2 y1 次态y2 ( n+1)y1(n+1) /输出Z x2x1=00x2x1=01x2x1=11x2x1=10 A B C D B/0 B/0 C/0 A/0 B/0 C/1 B/0 A/1 A/1 A/0 D/0 C/0 B/0 D/1 A/0 C/0

解答 根据表1所示状态表可作出对应的状态图如图1所示。 图1 3. 已知状态图如图2所示,输入序列为x=,设初始状态为A,求状态和输出响应序列。 图2 解答 状态响应序列:A A B C B B C B 输出响应序列:0 0 0 0 1 0 0 1

4. 分析图3所示逻辑电路。假定电路初始状态为“00”,说明该电路逻辑 功能 。 图 3 解答 ○1 根据电路图可写出输出函数和激励函数表达式为 x K x,J ,x K ,xy J y xy Z 111121 2===== ○2 根据输出函数、激励函数表达式和JK 触发器功能表可作出状态表如表2所示, 状态图如图4所示。 表2 图4 现态 y 2 y 1 次态 y 2( n+1)y 1(n+1)/输出Z x=0 x=1 00 01 10 11 00/0 00/0 00/0 00/0 01/0 11/0 11/0 11/1

《数字逻辑电路》期末考试试题

《数字逻辑电路》期末考试试题 一、单项选择题(本大题共15小题,每小题2分,共30分) 1.下列数中最小的数是 A .(1000.1)2 B .(10.3)8 C . (8.3)10 D .(8.3)16 2.与(77)8相等的8421BCD 码数是 A .(01110111)85421BCD B .(01100011)8421BCD C .(10010011)8421BC D D .(10010110)8421BCD 3.已知输入A 、B 和输出F 的波形如图1.1所示,由此判断F 与A 、B 的逻辑关系是 A .或非 B .异或 C .与非 D .同或 4.在下列电路中,能实现C AB F +=的电路是 5.函数C B A C B D C B A F ?+++=)(的反函数是 A .[] C B A C B D C B A F +++++=))(( B .[]C B A C B D C B A F +++++=))(( C .[]C B A C D B C B A F ++++++= D . [] C B A C B D C B A F +++++=)( 6.与函数AD D C C A F ++=相等的函数式是 A .C A F = B .D C F = C .A D C F += D .AD F = 7.已知函数C B A F ++=,问使函数0=F 的输入组合是 A B C A B C A B C D A B F 图1.1

A .ABC=001 B .ABC=110 C .ABC=000 D .ABC=010 8.逻辑项CD B A ,其逻辑相邻项的是 A .BCD A B .D B C A C . D C AB D .D C B A 9.逻辑函数D B A D AB D BC CD F +++=的最简与或式是 A .F CD BD =+ B .F CD BD =+ C .F BD CD =+ D .F BD CD =+ 10.逻辑函数C B C A F +?=,其约束条件为0=AB ,它的最简与或非式是 A . F A C B C =+++ B . F A C B C =+++ C .F A C B C =+++ D .F A C B C =+++ 11.函数∑= )15,13,12,9,8,7,5,1(F 的最简与或式是 A .D A C B D C F ?++= B . D B D C C A F ?++= C .BD D C C A F ++= D .AD C B D C F ++= 12.D 触发器置1,其激励端D 的取值为 A .0=D B .1=D C .n Q D = D .1 +=n Q D 13.T 触发器组成电路如图1.2所示,它完成的功能是 A .T 触发器 B .JK 触发器 C .D 触发器 D .RS 触发器 14.n 位DAC 电路的精度为 A .121-n B .12 1-n C .n 2 D .12-n 15.555定时电路当R d =0时,其输出状态为 A .保持 B .对输出无影响 C .1 D .0 二、填空题(本大题共5小题,每小题2分,共10分) 16.余3BCD 码0111表示的十进制数是 。 17、1⊕⊕=A A F = 。 A 图1.2

数字逻辑习题答案_毛法尧_第二版

毛法尧第二版 习题一 1.1 把下列不同进制数写成按权展开式: ⑴(4517.239)10= 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3 ⑵(10110.0101)2=1×24+0×23+1×22+1×21+0×20+0×2-1+1×2-2+0×2-3+1×2-4 ⑶(325.744)8=3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 ⑷(785.4AF)16=7×162+8×161+5×160+4×16-1+A×16-2+F×16-3 1.2 完成下列二进制表达式的运算: 1.3 将下列二进制数转换成十进制数、八进制数和十六进制数: ⑴(1110101)2=(165)8=(75)16=7×16+5=(117)10 ⑵(0.110101)2=(0.65)8=(0.D4)16=13×16-1+4×16-2=(0.828125)10 ⑶(10111.01)2=(27.2)8=(17.4)16=1×16+7+4×16-1=(23.25)10 1.4 将下列十进制数转换成二进制数、八进制数和十六进制数,精确到小数点后5位: ⑴(29)10=(1D)16=(11101)2=(35)8 ⑵(0.207)10=(0.34FDF)16=(0.001101)2=(0.15176)8 ⑶(33.333)10=(21.553F7)16=(100001.010101)2=(41.25237)8

1.5 如何判断一个二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除? 解: 一个二进制正整数被(2)10除时,小数点向左移动一位, 被(4)10除时,小数点向左移动两位,能被整除时,应无余数,故当b1=0和b0=0时, 二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除. 1.6 写出下列各数的原码、反码和补码: ⑴0.1011 [0.1011]原=0.1011; [0.1011]反=0.1011; [0.1011]补=0.1011 ⑵0.0000 [0.000]原=0.0000; [0.0000]反=0.0000; [0.0000]补=0.0000 ⑶-10110 [-10110]原=110110; [-10110]反=101001; [-10110]补=101010 1.7 已知[N]补=1.0110,求[N]原,[N]反和N. 解:由[N]补=1.0110得: [N]反=[N]补-1=1.0101, [N]原=1.1010,N=-0.1010 1.8 用原码、反码和补码完成如下运算: ⑴0000101-0011010 [0000101-0011010]原=10010101; ∴0000101-0011010=-0010101。 [0000101-0011010]反=[0000101]反+[-0011010]反=00000101+11100101=11101010 ∴0000101-0011010=-0010101 [0000101-0011010]补=[0000101]补+[-0011010]补=00000101+11100110=11101011 ∴0000101-0011010=-0010101 ⑵0.010110-0.100110 [0.010110-0.100110]原=1.010000;

数字逻辑期末试题及答案

西 安 金 戈 技 术 学 校 2008年秋季学期期末考试数字逻辑电路试卷 注意事项 1. 考试时间:120 分钟。 2. 本卷依据2005年颁布的《技工类院校考试要求》命制。 3. 请首先按要求在试卷的规定位置填写您的姓名、准考证号和所在班级。 4. 请仔细阅读各种题目的回答要求,在规定的位置填写您的答案。 5. 不要在试卷上乱写乱画,不要在试卷上填写无关的内容。 一. 判断: 1.数字电路抗干扰能力强的原因是只传递1和0的数字信息.. ( ) 2.BCD 码就是8421BCD 码. ( ) 3.卡诺图是真值表示的另一种形式,具有唯一性. ( ) 4.二极管可组成与门电路,但不能组成或门电路. ( ) 5.三极管非门电路中的三极管主要工作在放大状态. ( ) 6.组合逻辑电路中有具有记忆功能的逻辑部件. ( ) 7.译码是编码的逆过程. ( ) 8.触发器也称为半导体存贮单元. ( ) 9.同步触发器存在空翻现象,而边沿型触发器和主从型触发器克服了空翻. 10.JK 触发器的JK 端相当KS 触发器的SR 端. ( ) 二.选择: 1.若编码器编码输出位数为4位,则最多可对( )个输入信号编码. A.4 B.6 C.16 D.32 2.16选1数据选择器其地址输入至少应有( )位. A.2 B.4 C.8 D.16 3.半加器有( ),全加器有( ) A.2个输入端,2个输出端 B.2个输入端,3个输出端 C.3个输入端,2个输出端 D.3个输入端,3个输出端 4.二极管可组成的电路( ) A.只能是与门 B.只能是或门 C.与门和或门都可以 D.非门 5.通常能实现“线与”功能的门电路是( ) A.OC 门 BTSL.门 C.TTL 与门 6.BCD 码是( ) A.二进制码 B.十进制码 C.二一十进制码 7.下列逻辑函数表示方法中,具有唯一性的是(多选)( ) A.真值表 B.逻辑表达式 C.逻辑图 D.卡诺图 8.下列选项中不属于卡诺图特点的是( ) … … ……… ……考……………生… ……… …答……………题……………不……………准……………超……………过……………此……………线…………………

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) 101 0101

7. 常用的BCD码有(C ) A:奇偶校验码B:格雷码C:8421码 D:ASCII码 8. 已知Y A AB AB =++,下列结果中正确的是(C) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C ) A: ABC ABC ABC ++

B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED

2006~2010数字逻辑试卷及答案要点

武汉大学计算机学院 2006~2007学年第二学期2006级《数字逻辑》 期未考试试卷 A 卷 学号 班级 姓名 成绩 一、填空(每空1分,共14分) 1、(21.5)10=( )2=( )8=( )16 2、若0.1101x =-,则[]x 补=( ) 3、十进制数809对应的8421BCD 码是( ) 4、若采用奇校验,当信息位为10011时,校验位应是( ) 5、数字逻辑电路分为( )和( )两大类 6、电平异步时序逻辑电路的描述工具有( )、( )、( ) 7、函数()()F A B C D =+?+的反函数是( ) 8、与非门扇出系数N O 的含义是( ) 9、若要消除函数(,,)F A B C AB AC =+对应的逻辑电路可能存在的险象,则应增加的冗余项是( ) 二、选择题(每空2分,共16分) 从下列各题的四个答案中,选出一个正确答案,并将其代号填入括号内 1、数字系统采用( )可以将减法运算转化为加法运算 A .原码 B .余3码 C .Gray 码 D .补码 2、欲使J-K 触发器在CP 脉冲作用下的次态与现态相反,JK 的取值应为( ) A .00 B .01 C .10 D .11 3、对完全确定原始状态表中的6个状态,A 、B 、C 、D 、E 、F 进行比简,若有(A ,B ),(D 、E )等效,则最简状态表中只有( )个状态 A .2 B .4 C .5 D .6 4、下列集成电路芯片中,( )属于组合逻辑电路 A .计数器74290 B .寄存器74194 C .三一八译码器74138 D .集成定时器5G555 5、设计一个20进制同步计数器,至少需要( )个触发器 A .4 B .5 C .6 D .20 6、用5G555构成的多谐振荡器有( ) A .两个稳态 B .两个暂稳态

《数字集成电路》期末试卷A(含答案)

浙江工业大学 / 学年第一学期 《数字电路和数字逻辑》期终考试试卷 A 姓名 学号 班级 任课教师 请在每小题的空格中填上正确答案。错填、不填均无分。 1.十进制数(68)10对应的二进制数等于 ; 2.描述组合逻辑电路逻辑功能的方法有真值表、逻辑函数、卡诺图、逻辑电路图、波形图和硬件描述语言(HDL )法等,其中 描述法是基础且最直接。 3.1 A ⊕可以简化为 。 4.图1所示逻辑电路对应的逻辑函数L 等于 。 A B L ≥1 & C Y C 图1 图2 5.如图2所示,当输入C 是(高电平,低电平) 时,AB Y =。 6.两输入端TTL 与非门的输出逻辑函数AB Z =,当A =B =1时,输出低电平且V Z =0.3V ,当该与非门加上负载后,输出电压将(增大,减小) 。 7.Moore 型时序电路和Mealy 型时序电路相比, 型电路的抗干扰能力更强。 8.与同步时序电路相比,异步时序电路的最大缺陷是会产生 状态。 9.JK 触发器的功能有置0、置1、保持和 。 10.现有容量为210×4位的SRAM2114,若要将其容量扩展成211×8位,则需要 片这 样的RAM 。 二、选择题(本大题共10小题,每小题2分,共20分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 11.十进制数(172)10对应的8421BCD 编码是 。 【 】 A .(1111010)8421BCD B .(10111010)8421BCD C .(000101110010)8421BCD D .(101110010)8421BCD

2020秋西安电子科技大学《数字逻辑电路》大作业期末试题及答案

学习中心/函授站_ 姓名学号 西安电子科技大学网络与继续教育学院 2020 学年下学期 《数字逻辑电路》期末考试试题 (综合大作业) 题号一二三四总分 题分30 10 30 30 得分 考试说明: 1、大作业试题于2020 年10 月15 日公布: (1)毕业班学生于2020 年10 月15 日至2020 年11 月1 日在线上传大作业答卷; (2)非毕业班学生于2020 年10 月22 日至2020 年11 月8 日在线上传大作业答卷; (3)上传时一张图片对应一张A4 纸答题纸,要求拍照清晰、上传完整; 2、考试必须独立完成,如发现抄袭、雷同均按零分计; 3、答案须用《西安电子科技大学网络与继续教育学院标准答题纸》手写 完成,要求字迹工整、卷面干净。 一、单项选择题(每小题2 分,共40 分) 1、下列各数中与十进制数101 不相等的数是( D )。 A.(0100 0011 0100)余3BCD B.(141)8C.(1100101)2D.(66)16 2、八进制数(35)8的8421BCD 是( B )。 A.0011 1000B.0010 1001C.0011 0101D.0010 1100 3、为使与非门输出为1 则输入( A )。 A.只要有0 即可B.必须全为0C.必须全为1D.只要有1 即可 4、函数F AC BC AB与其相等的表达式是( B )。 A.BC B.C+AB C.AC AB D.AB

5、使函数F AB AC BC 等于 0 的输入 ABC 的组合是( C )。 A .ABC=111 B .ABC=110 C .ABC=100 D .ABC=011 6、四变量的最小项ABCD 的逻辑相邻项是( A )。 A .ABCD B .ABCD C .ABC D D .ABCD 7、函数F ABC B .C (A D )BC 的对偶式是( C )。 A . G (A B C )(B C )(AD B C ) B .G A B C (B C )AD B C C .G A B C (B C )(A D B C ) D .G A B C (B C )A D B C 8、F A B C ADE BDE ABC 的最简式为( A )。 A .1 B .ABC DE C .ABC D .A+BDE+CDE 9、 函数F AC BC AB 的最简与非式为( D )。 10、 函数F A B C A .B .D AC ACD AB .D 的最简与或式为 ( D )。 A .F BC AD B .F B C AD C .F AB AC BD D .F AB AC BD 11、 函数F (ABCD ) (0,1,3,4,5,7,12),约束条件为BCD ABD 0 ,其 最简与或非式是( C )。 A .F A .C AD BD B .F CD AB AD A . B . C . D . B A B A C B C B B A . C A B A . . A B C A

相关文档
最新文档