VHDL电梯控制器程序设计与仿真

VHDL电梯控制器程序设计与仿真
VHDL电梯控制器程序设计与仿真

电梯控制器VHDL程序与仿真。

--

--文件名:dianti.vhd。

--功能:6层楼的电梯控制系统。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity dianti is

port ( clk : in std_logic; --时钟信号(频率为2Hz)full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; --电梯外人的上升请求信号

c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic; --电梯外人的下降请求信号

d1,d2,d3,d4,d5,d6 : in std_logic; --电梯内人的请求信号

g1,g2,g3,g4,g5,g6 : in std_logic; --到达楼层信号

door : out std_logic_vector(1 downto 0); --电梯门控制信号

led : out std_logic_vector(6 downto 0); --电梯所在楼层显示

led_c_u:out std_logic_vector(5 downto 0); --电梯外人上升请求信号显示

led_c_d:out std_logic_vector(5 downto 0); --电梯外人下降请求信号显示

led_d : out std_logic_vector(5 downto 0); --电梯内请求信号显示

wahaha : out std_logic; --看门狗报警信号

ud,alarm : out std_logic; --电梯运动方向显示,超载警告信号

up,down : out std_logic ); --电机控制信号和电梯运动

end dianti;

architecture behav of dianti is

signal d11,d22,d33,d44,d55,d66:std_logic; --电梯内人请求信号寄存信号

signal c_u11,c_u22,c_u33,c_u44,c_u55:std_logic; --电梯外人上升请求信号寄存信号

signal c_d22,c_d33,c_d44,c_d55,c_d66:std_logic; --电梯外人下降请求信号寄存信号

signal q:integer range 0 to 1; --分频信号

signal q1:integer range 0 to 6; --关门延时计数器

signal q2:integer range 0 to 9; --看门狗计数器

signal dd,cc_u,cc_d,dd_cc:std_logic_vector(5 downto 0); --电梯内外请求信号寄存器

signal opendoor:std_logic; --开门使能信号

signal updown:std_logic; --电梯运动方向信号寄存器

signal en_up,en_dw:std_logic; --预备上升、预备下降预操作使能信号begin

com:process(clk)

begin

if clk'event and clk='1' then

if clr='1' then q1<=0;q2<=0;wahaha<='0'; --清除故障报警elsif full='1' then alarm<='1'; q1<=0; --超载报警if q1>=3 then door<="10";

else door<="00";

end if;

elsif q=1 then q<=0;alarm<='0';

if q2=3 then wahaha<='1'; --故障报警

else

if opendoor='1' then door<="10";q1<=0;q2<=0;up<='0';down<='0'; --开门操作

elsif en_up='1' then --上升预操作

if deng='1' then door<="10";q1<=0;q2<=q2+1; --关门中断

elsif quick='1' then q1<=3; --提前关门

elsif q1=6 then door<="00";updown<='1';up<='1'; --关门完毕,电梯进入上升状态

elsif q1>=3 then door<="01";q1<=q1+1; --电梯进入关门状态

else q1<=q1+1;door<="00"; --电梯进入等待状态

end if;

elsif en_dw='1' then --下降预操作

if deng='1' then door<="10";q1<=0;q2<=q2+1;

elsif quick='1' then q1<=3;

elsif q1=6 then door<="00";updown<='0';down<='1';

elsif q1>=3 then door<="01";q1<=q1+1;

else q1<=q1+1;door<="00";

end if;

end if;

if g1='1' then led<="1001111"; --电梯到达1楼,数码管显示1

if d11='1' or c_u11='1' then d11<='0'; c_u11<='0';opendoor<='1';

--有当前层的请求,则电梯进入开门状态elsif dd_cc>"000001" then en_up<='1'; opendoor<='0';

--有上升请求,则电梯进入预备上升状态elsif dd_cc="000000" then opendoor<='0'; --无请求时,电梯停在1楼待机

end if;

elsif g2='1' then led<="0010010"; --电梯到达2楼,数码管显示2 if updown='1' then --电梯前一运动状态位上升if d22='1' or c_u22='1' then d22<='0'; c_u22<='0'; opendoor<='1';

--有当前层的请求,则电梯进入开门状态elsif dd_cc>"000011" then en_up<='1'; opendoor<='0';

--有上升请求,则电梯进入预备上升状态elsif dd_cc<"000010" then en_dw<='1'; opendoor<='0';

--有下降请求,则电梯进入预备下降状态end if;

--电梯前一运动状态为下降

elsif d22='1' or c_d22='1' then d22<='0'; c_d22<='0';opendoor<='1';

--有当前层的请求,则电梯进入开门状态elsif dd_cc<"000010" then en_dw<='1'; opendoor<='0';

--有下降请求,则电梯进入预备下降状态elsif dd_cc>"000011" then en_up<='1'; opendoor<='0';

--有上升请求,则电梯进入预备上升状态end if;

elsif g3='1' then led<="0000110"; --电梯到达3楼,数码管显示3 if updown='1' then

if d33='1' or c_u33='1' then d33<='0'; c_u33<='0';opendoor<='1';

elsif dd_cc>"000111" then en_up<='1'; opendoor<='0';

elsif dd_cc<"000100" then en_dw<='1'; opendoor<='0';

end if;

elsif d33='1' or c_d33='1' then d33<='0'; c_d33<='0'; opendoor<='1';

elsif dd_cc<"000100" then en_dw<='1'; opendoor<='0';

elsif dd_cc>"000111" then en_up<='1'; opendoor<='0';

end if;

elsif g4='1' then led<="1001100"; --电梯到达4楼,数码管显示4 if updown='1' then

if d44='1' or c_u44='1' then d44<='0'; c_u44<='0'; opendoor<='1';

elsif dd_cc>"001111" then en_up<='1'; opendoor<='0';

elsif dd_cc<"001000" then en_dw<='1'; opendoor<='0';

end if;

elsif d44='1' or c_d44='1' then d44<='0'; c_d44<='0'; opendoor<='1';

elsif dd_cc<"001000" then en_dw<='1'; opendoor<='0';

elsif dd_cc>"001111" then en_up<='1'; opendoor<='0';

end if;

elsif g5='1' then led<="0100100"; --电梯到达5楼,数码管显示5

if updown='1' then

if d55='1' or c_u55='1' then d55<='0'; c_u55<='0';opendoor<='1';

elsif dd_cc>"011111" then en_up<='1'; opendoor<='0';

elsif dd_cc<"010000" then en_dw<='1'; opendoor<='0';

end if;

elsif d55='1' or c_d55='1' then d55<='0'; c_d55<='0';opendoor<='1';

elsif dd_cc<"010000" then en_dw<='1'; opendoor<='0';

elsif dd_cc>"011111" then en_up<='1'; opendoor<='0';

end if;

elsif g6='1' then led<="0100000"; --电梯到达6楼,数码管显示6 if d66='1' or c_d66='1' then d66<='0'; c_d66<='0';opendoor<='1';

elsif dd_cc<"100000" then en_dw<='1'; opendoor<='0';

end if;

else en_up<='0';en_dw<='0'; --电梯进入上升或下降状态

end if;

end if;

else q<=1;alarm<='0'; --清除超载报警

if d1='1' then d11<=d1; --对电梯内人请求信号进行检测和寄存

elsif d2='1' then d22<=d2;

elsif d3='1' then d33<=d3;

elsif d4='1' then d44<=d4;

elsif d5='1' then d55<=d5;

elsif d6='1' then d66<=d6;

end if;

if c_u1='1' then c_u11<=c_u1; --对电梯外人上升请求信号进行检测和寄存elsif c_u2='1' then c_u22<=c_u2;

elsif c_u3='1' then c_u33<=c_u3;

elsif c_u4='1' then c_u44<=c_u4;

elsif c_u5='1' then c_u55<=c_u5;

end if;

if c_d2='1' then c_d22<=c_d2; --对电梯外人下降请求信号进行检测和寄存elsif c_d3='1' then c_d33<=c_d3;

elsif c_d4='1' then c_d44<=c_d4;

elsif c_d5='1' then c_d55<=c_d5;

elsif c_d6='1' then c_d66<=c_d6;

end if;

dd<=d66&d55&d44&d33&d22&d11; --电梯内人请求信号并置

cc_u<='0'&c_u55&c_u44&c_u33&c_u22&c_u11; --电梯外人上升请求信号并置

cc_d<=c_d66&c_d55&c_d44&c_d33&c_d22&'0'; --电梯外人下降请求信号并置

dd_cc<=dd or cc_u or cc_d; --电梯内、外人请求信号进行综合end if;

ud<=updown; --电梯运动状态显示

led_d<=dd; --电梯内人请求信号显示

led_c_u<=cc_u; --电梯外人上升请求信号显示

led_c_d<=cc_d; --电梯外人下降请求信号显示end if;

end process;

end behav;

电梯程序仿真

注:由于以上输入信号在下面仿真中没用到,所以在下面仿真图中将不再出现。

电梯控制程序仿真全图-1

电梯控制程序仿真全图-2

注:1. 电梯停在一楼时,接受到请求信号c_d3、c_d2、c_u4和d6,并把请求信号写入相应的寄存器。led 显示电梯所在楼层;led_d、led-c_u和led_c_d显示用户的请求。

2. 电梯经过准备上升状态后,进入上升状态,到达2楼,3楼时,不停继续前进。

3. 电梯上升到4楼时,响应请求(c_u4),开门载客;进入预备上升状态。

电梯控制程序仿真局部放大图-1

注:1. 电梯上升到6楼时,响应请求(d_6),开门卸客;进入预备下降状态。

2. 电梯下降经过5楼,4楼都不停,到达3楼开门卸客,电梯进入预备下降状态。

电梯控制程序仿真局部放大图-2

注:1. 电梯到达3楼时,响应请求(c_d3),开门载客;进入预备下降状态。

2. 电梯在预备下降状态下,电梯应超载(full='1'),发出超载警报alarm;超载信号消失(full='0'),电梯重新进入预备下降状态。

4. 电梯接受到提前关门信号quick,电梯跳过关门等待时间。仿真图中q1从1跳到3;进入关门状态。

5. 电梯接受到deng、c_d3和d3电梯重新进入预备下降状态,并且c_d3和d3信号都可以对q2(q2<3时)进行清零处理。

6. 当连续的关门中断的次数超过3次时,不认为是出自乘客的需要,而认为是故障,并报警,等技术员处理完故障时,用clr信号才可以清除报警。

电梯控制程序仿真局部放大图-3

注:1. 电梯排除故障后继续运行。

2. 电梯执行完所有请求时电梯将停在1楼待机。

电梯控制程序仿真局部放大图-4

电梯控制智能化系统设计方案

精选范文、公文、论文、和其他应用文档,希望能帮助到你们! 电梯控制智能化系统设计方案 目录 第一章概述 (2) 第二章系统需求分析 (4) 第三章系统设计目标及原则 (5) 3.1系统设计目标 (5) 3.2系统设计原则 (6) 第四章系统解决方案及技术描述 (6) 4.1系统概述 (6) 4.2系统基本功能及特点 (7) 4.3系统结构 (8) 第五章设备介绍 (10) 第六章工作原理 (12) 第七章系统设备清单及价格 (14) 第八章工程实施 (15) 第九章售后服务 (17)

第十章质量保证 (19) 第一章概述 1.概述: 物业管理公司或管理人员为了能对楼宇内各种人员的进出 进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以

通过采用对电梯的合理控制实现这种功能需求。VD-TK800是专门用于楼宇的电梯控制和集成的电梯专用控制器。通过采用VD-TK800对电梯按键面板进行改造后,所有使用电梯的持卡人,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。 VD-TK800基于控制软件平台使用的一个控制模块,它与ACS2002门禁控制系统相互兼容,组成一个强大的保安系统网络,也可以独立使用来控制电梯。VD-TK800可在线运行,可以单机独立运行,即使关闭PC机,VD-TK800也可以正常使用,确保其稳定可靠的控制功能,从而提高楼宇管理层次。 VD-TK800/E智能电梯控制器

PLC课程设计(三层电梯控制系统)

三层电梯控制系统的模拟 我设计的三层电梯控制系统的主要功能有:①楼层指示灯亮时表示停在相应的楼层,②每当停在各楼层时其楼层指示灯闪烁1秒接着常亮,③有呼叫的楼层有响应,反之没有,④电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。 2. 硬件电路设计和描述 ①模拟装置介绍 S1、S2、S3分别为轿厢内一层、二层、三层电梯内选按钮;D2、D3分别为二层、三层电梯外下降呼叫按钮;U1、U2分别为一层、二层电梯外上升呼叫按钮;SQ1、SQ2、SQ3分别为一层、二层、三层行程开关,模拟实际电梯位置传感器的作用。 L1、L2、L3分别为一层、二层、三层电梯位置指示灯;DOWN为电梯下降状态指示灯;UP为电梯上升状态指示灯;SL1、SL2、SL3分

别为轿厢内一层、二层、三层电梯内选指示灯。 ②控制要求 电梯由安装在各楼层门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在由一层运行至三层的过程中,在二层轿箱外呼叫时,若按二层上升呼叫按钮,电梯响应呼叫;若按二层下降呼叫按钮,电梯运行至二层时将不响应呼叫运行至三层,然后再下降,响应二层下降呼叫按钮。 电梯位置由行程开关SQ1、SQ2、SQ3决定,电梯运行由手动依次拨动行程开关完成,其运行方向由上升、下降指示灯UP、DOWN 决定。 例如:闭合开关SQ1,电梯位置指示灯L1亮,表示电梯停在1层,这时按下三层下呼按钮D3,上升指示灯UP亮,电梯处于上升状态。断开SQ1、闭合SQ2,L1灭、L2亮,表示电梯运行至二层,上升指示灯UP仍亮;断开SQ2、闭合SQ3,电梯运行至三层,上升指示灯UP 灭,电梯结束上升状态,以此类推。 当电梯在三层时(开关SQ3闭合),电梯位置指示灯L3亮。按下轿厢内选开关S1,电梯进入下降状态。在电梯从三层运行至一层的过程中,若按下二层上呼U2与下呼按钮D2,由于电梯处于下降状态中,电梯将只响应二层下呼,不响应二层上呼。当电梯运行至二层时,

基于FPGA的电梯控制系统的仿真图(附程序)

系统主体模块的设计与仿真 本设计是以9层的电梯控制系统为模型。主要实现电梯运行开关的控制,楼层的请求,电梯运行遵循的方向优先原则,提前、延时开关门等基本的功能。以下是对不同的状态进行仿真,以验证本设计的可行性。 电梯进入运行状态控制进程,通过判断上升、下降请求寄存器每一位的值,决定电梯运行状态,并通过状态指示信号输出该状态,本设计都是使用高电平触发。 (1)当电梯处于1楼时,上升请求: 图4.1 电梯处于第一层时仿真图 1

(2)提前/延时关门功能 没有提前/延时关门时的情况下: 图4.2 没有提前/延时关门时的仿真图 从图4.2知道,运行或停止输出信号“lamp”为高电平时电梯进入运行状态,低电平时停止。圈内显示电梯在75.36us后从停止状态转入运行状态。 当设置提前关门“close”为高电平时: 图4.3 有提前关门时的仿真图 图4.3与图4.2对比可知,由于设置了提前关门进程“close”,电梯提前到75.36us 以前从停止状态转入运行状态。 2

当设置了延时关门“delay”为高电平时: 图4.4 延时关门时的仿真图 图4.4与图4.2对比可知,由于设置了延时关门进程“delay”,电梯延时到80.48us 后才从停止状态转入运行状态。 (3)当电梯处于较高层数时 图4.5 电梯处于较高层数时的仿真图 3

(5)电梯轿厢内楼层选择开关功能仿真 图4.6 轿厢内楼层选择开关仿真图 4

(4)电梯的次态功能仿真 如图4.7所示,当运行或等待时间“run_wait”计数到0110时,电梯运行状态变量“ladd”给出电梯的下一个状态。如图 4.7圈内显示,“ladd”从0 5

电梯控制系统设计方案

上海四景计算机信息科技有限公司 电 梯 控 制 系 统 方 案

上海四景计算机信息科技有限公司 舒特电梯智能控制系统 ---楼宇自动化的首选 前言: 系统概述: 随着高科技的蓬勃发展,智能化管理已经走进了人们的生活。物业管理公司或管理人员为了能对楼宇内各种人员的进出进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现这种功能需求。所有的电梯楼层,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。针对这些需求我们开发了电梯楼层控制器,并分为手动型和自动型两款,客户可以根据需求选择适合自己的产品。 通过智能卡管理电梯运行,可将闲杂人员阻止在电梯之外;同时,又起到了电梯省电省空耗的环保作用;也减少了出现电梯按键失灵的情况;延长了电梯使用寿命;加强了传统安全管理系统中管理的薄弱的一面;提高了物业的安全等级,电梯系统智能化控制已逐渐成为智能化建筑楼宇中必不可少弱电系统之一 二、选择使用电梯控制系统带来的好处 (一)使用梯控制系统可有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现各种功能需求。 (二)使用梯控制系统能够提高楼盘的整体智能化程度,提升楼盘亮点和档次,充分体现智能化楼宇和智能化小区意义,是楼盘更具附加值。 (三)使用梯控制系统能够使公共电梯轻松晋级为私有电梯,能够让业主充分体现私有电梯的尊贵和方便性。 (四)使用梯控制系统能够为用户提供更方便和更公平使用。 (五)协助收取物业费 管理人员可对系统的用户卡设定使用权限,设定失效日期,便于控制管理费用的收取。 如用户使用到达使用的失效时间,则不能开梯,提醒并促使用户到达管理处及时缴费,对于不按时交纳物业费的业主,则不能使用电梯,有效的将管理费用与用户使用权限挂

Matlab 编程方法及仿真实验

《现代机械工程基础实验》之机械工程控制基础综合实验报告 姓名 学号 班级 山东建筑大学机电工程学院 2012.06.04~06

第一部分 Matlab 编程方法及仿真实验 实验1. 三维曲面的绘制(略) 实验2. 系统零极点绘制例:求部分分式展开式和)(t g 一个线性定常系统的传递函数是 1 5422 3)(2 3 ++++= s s s s s G (1) 使用MATLAB 建立传递函数,并确定它的极点和零点,写出)(s G 的部分分式展开式并绘制 系统的脉冲响应。 实验结果:零点-0.6667 极点-0.8796 + 1.1414i -0.8796 - 1.1414i -0.2408 实验3. 系统的阶跃响应 例. )(s G 的阶跃响应 对例2中由(1)式给出的传递函数)(s G ,增加一个0=s 处的极点,使用impulse 命令绘制其拉普拉斯反变换式曲线,得到阶跃响应图。将该响应与对)(s G 使用step 命令所得到的响应比较,确定系统的DC 增益。利用初值定理和终值定理来校验结果。 实验结果:DC 增益= 2

实验4. 双输入反馈系统单位阶跃响应 考虑一个如图1所示的反馈系统,它既有参考输入也有干扰输入,其中对象和传感器的传递函数是 )12)(15.0(4)(++=s s s G p ,105.01 )(+=s s H 控制器是一个增益为80,有一个在3-=s 处的零点,极点/零点比15=α超前控制器。推导 两个独立的MATLAB 模型,其中一个模型的输入为)(s R ,另一个输入为)(s D 。使用这些模型确定闭环零点和极点,并在同一坐标系内绘制它们的阶跃响应。 D (s ) 图1 具有参考和干扰输入的反馈系统方框图 实验结果: 参考输入的CL 极点:-49.3658 -7.3336 + 7.9786i -7.3336 - 7.9786i -3.4670 参考输入的DC 增益:320 干扰输入的CL 零点:-45 干扰输入的CL 极点:-49.3658 -7.3336 + 7.9786i -7.3336 - 7.9786i -3.4670 干扰输入的DC 增益:4 -20

单片机课程设计之电梯控制系统

微控综合系统课程设计报告 专 班 姓 学 2016 年 12 月 23 日

摘要 本文介绍了一种采用STC15F2K60S2芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现电子设计的方法,利用单片机编程实现功能,简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。硬件部分主要由单片机的最小模块、电梯内外按钮控制模块、数码管显示楼层模块、发光二极管显示目的楼层模块、报警显示模块组成。软件部分使用kiel软件进行C语言程序编写,用proteus软件进行仿真调试。本设计具有电梯控制系统所需的一些基本功能,能通过方向按键选择方向,能通过数字按键选择楼层,数码管显示实时楼层数,电动机控制部分采用直流电机及H桥驱动电路,使电梯箱能上下运动。硬件设计简单可靠,结合软件,基本实现了五层电梯运行的模拟仿真。 关键词:STC15F2K60S2芯片;电梯控制系统;C语言 Abstract This paper describes the use of a STC15F2K60S2 chip for elevator control system design methods, mainly elaborates how to use microcontroller programming method to realize electronic design, realize the function of the use of single-chip programming, concise and changing the design method shortens the development cycle, at the same time the elevator control system smaller and more powerful. The hardware part is composed of the smallest module of the microcontroller, the internal and external button control module, the digital control display module, the light emitting diode display module and the alarm module. The software part uses the Kiel software to carry on the C language programming, uses the Proteus Software to carry on the simulation debugging. Some of the basic functions of the design of the elevator control system required, canchoose direction key direction through the number keys to select the floor, real-time digital display of the number of floors, the motor control part adopts DC motor and H bridge driving circuit, the elevator box can move up and down. The hardware design is simple and reliable, combined with the software, the basic realization of the five elevator operation simulation. Key words: STC15F2K60S2 chip; elevator control system; C language. 1.引言 随着现代高科技的发展,住房和办公用楼都已经逐渐向高层发展。电梯是高层宾馆、商店、住宅、多层仓库等高层建筑不可缺少的垂直方向的交通运输工具。因此电梯在我们的生活中起着举足轻重的作用。电梯已不仅是一种生产环节中的重要设备,更是一种人们频繁乘用的交通运输设备。由于传统的电梯运行逻辑控制系统采用的是继电器逻辑控制线路。采用这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术发展来看,这种系统将逐渐被

智能电梯控制器的设计

电子技术课程设计报告 智能电梯控制器的设计 班级自动化1306 学号 姓名 日期2016-6-20

目录: 一.课程设计题目 (3) 二.问题分析 (4) 1.方向优先的电梯调度 (4) 2.电梯的状态 (4) 3.状态编码方案 (4) 三.状态机 (5) 四.系统输入与输出 (6) 五.系统框图与系统设计 (7) 1.系统框图 (7) 2.系统设计 (8) 六.波形仿真 (9) 1.请求处理 (9) 2.状态转换 (10) 3.楼层推进 (11) 4.电梯运行的优先级 (12) 5.复位信号reset (13) 6.锁定禁止运行按键forbid (13) 七.程序源代码及注释 (14) 八.引脚分配 (26) 六.心得与体会 (27)

一.课程设计题目 设计内容与要求: ①楼层的高度大于等于6,根据降低运行成本的原则,设计并实现 一个以方向优先电梯调度算法。 ②要求能够使用按键模拟对电梯的控制,为了便于观察,将电梯所在 的楼层(1~6)用数码管显示出来,将电梯的上下楼状态(上,下,开 门,关门,静止)用发光管或数码管显示出来,并且能够实现对电 梯实现锁定禁止运行。 ③画出电梯控制器的状态机,写出状态编码方案。 ④用Verilog语言对设计进行描述,并下载到实验板上调试成功,适 当增加比较符合现实的控制限制。 ⑤写出设计性实验报告,并打印各层次的源文件和仿真波形,然后 作简要说明。并谈谈此次实验的收获、感想及建议

二.问题分析 1.方向优先的电梯调度 电梯有三种运行状态,分别是上升、下降和静止等待。 出于降低成本的考虑,电梯在某一方向运行时,要完成该方向上所有的请求后,才能变换运动方向去响应反方向的请求。 电梯上升时,优先响应电梯现在楼层以上楼层的上升、下降和楼层选择信号;电梯下降时,优先响应电梯现处楼层以下楼层的上升、下降和楼 层选择信号;电梯处于等待状态时,响应收到的第一个请求信号。 因此,电梯运行方向的判断要综合考虑三种请求信号、电梯当前所处楼层和电梯当前的状态等多种因素。 2.电梯的状态 电梯运动过程中,有五种基本状态:上升,下降,开门,关门,静止。 考虑到电梯停止在某一楼层等待请求信号的状态为静止状态;另外,电梯运动过程中暂时停靠在某一楼层,开门后需要等待一段时间,这段时 间电梯也没有动作,这时电梯也是“静止”状态。若只用一个状态表示两 种不同的静止情况,需要增加一个电梯的“开/关门标志”来区分两个“静 止”状态。 因此,为了简化逻辑,在5个基本状态的状态编码中增加一个状态,用一个新状态(OPENWAIT)来表示开门情况下的静止状态。 最终,电梯的状态机中共有6个状态。 3.状态编码方案 从数字电路设计的理论课中,我们学到,在进行状态编码时,若系统中存在多个状态,为了增强系统的稳定性。可用二进制位数与状态数相同 的变量来表征系统状态。 因此,电梯的状态用一个6位的二进制数currentstate[5:0]来表示。 6个状态分别编码为: STATIC=6'b000001, UP=6'b000010, DOWN=6'b000100, OPEN=6'b001000, CLOSE=6'b010000, OPENWAIT=6'b100000; 这样,每次状态发生变化时,只用检测状态变量中的的某一位二进制数即可知道电梯处于哪一状态。如要检测电梯是否处于OPEN开门状态, 只需检测currentstate[3]是否等于1即可。

基于单片机的电梯仿真程序课程设计

目录 (一)前言 (1) (二)现代电梯概述 (3) (三)硬件部分设计 (6) (四)软件部分设计 (12) (五)电梯运行界面 (52) (六)设计总结与感悟 (56) (七)参考文献 (57)

电梯仿真程序 一、前言: 本电梯仿真程序采用的是一个基于单片机及其相关外设,编程语言采用汇编与C语言结合的方式,通过矩阵键盘线反选法输入楼层,上、下行等控制信号,经I\O口读入,进行相关实时控制,软硬件结合的仿真系统,输出设备包括由CD4511驱动显示楼层的7段数码管,显示实时信息的显示屏LCD12864,由PWM控制显示电梯门开关的舵机,以及由I\O口间接控制的驱动电机正反转双桥驱动电路等几个部分组成。可以实现真实电梯中,任意层呼叫,目的层到达按要求顺序到达,开关门,无输入自动回1层等一系列功能,并实时显示当前电梯运行状态,关于真实电梯门控光幕装置,电机自动抱闸平层等部分,由于知识不足,没有足一实现,但会在接下来的专业知识学习过程中不断完善,同时也希望得到程老师的指导。 二、现代电梯概述:

电梯是一种以电动机为动力的垂直升降机,装有箱状吊舱,用于多层建筑乘人或载运货物。也有台阶式,踏步板装在履带上连续运行,俗称自动电梯。服务于规定楼层的固定式升降设备。它具有一个轿厢,运行在至少两列垂直的或倾斜角小于15°的刚性导轨之间。轿厢尺寸与结构形式便于乘客出入或装卸货物,本次微机课程设计电梯仿真选用的是垂直升降梯。 2.1、电梯功能 现代电梯主要由曳引机(绞车)、导轨、对重装置、安全装置(如限速器、安全钳和缓冲器等)、信号操纵系统、轿厢与厅门等组成。这些部分分别安装在建筑物的井道和机房中。通常采用钢丝绳摩擦传动,钢丝绳绕过曳引轮,两端分别连接轿厢和平衡重,电动机驱动曳引轮使轿厢升降。电梯要求安全可靠、输送效率高、平层准确和乘坐舒适等。电梯的基本参数主要有额定载重量、可乘人数、额定速度、轿厢外廓尺寸和井道型式等。简单使用方法(紧急情况下面有解决方法)载人电梯都是微机控制的智能化、自动化设备,不需要专门的人员来操作电梯电梯结构图电梯内部结构图驾驶,普通乘客只要按下列程序乘坐和操作电梯即可。

2019年电梯控制智能化系统设计方案.

2019年电梯控制智能化系统设计方案 目录 第一章概述 (2) 第二章系统需求分析 (3) 第三章系统设计目标及原则 (4) 3.1系统设计目标 (4) 3.2系统设计原则 (4) 第四章系统解决方案及技术描述 (5) 4.1系统概述 (5) 4.2系统基本功能及特点 (5) 4.3系统结构 (5) 第五章设备介绍 (7) 第六章工作原理 (8) 第七章系统设备清单及价格 (10) 第八章工程实施 (11) 第九章售后服务 (13) 第十章质量保证 (14)

第一章概述 1.概述: 物业管理公司或管理人员为了能对楼宇内各种人员的进出进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现这种功能需求。VD-TK800是专门用于楼宇的电梯控制和集成的电梯专用控制器。通过采用VD-TK800对电梯按键面板进行改造后,所有使用电梯的持卡人,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员 进出记录都有据可寻。 VD-TK800基于控制软件平台使用的一个控制模块,它与ACS2002门禁控制系统相互兼容,组成一个强大的保安系统网络,也可以独立使用来控制电梯。VD-TK800可在线运行,可以单机独立运行,即使关闭PC机,VD-TK800也可以正常使用,确保其稳定可靠的控制功 能,从而提高楼宇管理层次。 VD-TK800/E智能电梯控制器

HLA仿真程序设计

HLA仿真程序设计 1 计算机仿真技术是以计算机为工具,以相似原理、信息技术以及各种相关应用领域的基本理论和技术为基础,根据系统实验的目的,建立(实际或设想)系统的模型,并在不同的条件下,对模型进行动态运行(实验)的一门综合性技术。 当前,计算机技术应经成为系统能特别是大型系统分析、研究、测试、评估、研制和技术训练的重要手段,并已广泛应用于国防、制造、能源、交通、农业、医疗、教育、经济、天气预报等各个行业的重要领域。 从仿真硬件的角度讲,计算机仿真大致经历了三个主要发展阶段,即:模拟计算机仿真。模/数混合计算机仿真和数字计算机仿真。从仿真软件的角度讲,计算机仿真可分为五个相互交叉的发展阶段:仿真软件包和仿真语言、一体化仿真环境、智能化仿真环境、面向对象的仿真、分布式仿真。 2 分布交互仿真是指采用协调一致的结构、标准、协议和数据库,通过局域网和广域网,将分散在各地的仿真设备互联,形成可参与的综合性仿真环境。 计算机仿真通常可分为三种类型。及虚拟仿真、构造仿真和真实仿真。虚拟仿真是指作战人员操作仿真的武器系统进行的作战仿真,及人在回路中的仿真。构造仿真是指仿真中的所有实体均由计算机模型产生,及由仿真的人操作仿真的武器系统进行的仿真。真实仿真是由真实的战斗人员使用真实的武器系统和保障系统,在尽可能真实的作战环境中进行的作战演习。分布交互仿真既可以是某种单一类型的仿真,也可以是几种类型的综合。 3 HLA规则 HLA规则已成为IEEE M&S的正式标准,标准号为IEEE 1516。现行的规则共有十条,其中前五条规定了联盟必须满足的要求,后五条规定了盟员必须满足的要求。 联盟规则: (1) 每个联盟必须有一个联盟对象模型,该联盟对象模型的格式应与HLA OMT兼容。

毕业设计PLC四层电梯内含组态王仿真程序

这时我在网上看到的一个故事,无论是真的还是别人编出来,都值得大家一看!内容非常感人...用一点点的时间去体会人世间那份最真诚的爱! 睿是一个长的很帅气的男孩,在学校里人缘又很好...每个学生老师都很喜欢他. 但他却有一个长得丑陋无比的母亲,不但难看而且她只有一个眼睛(独眼)睿的朋友从来都没有看到过他的妈妈.自然也不会有人去问.生活仿佛过得还不错... 有一天,外面下着很大的雨...眼看同学们都撑着伞回家去,睿却只能站在屋沿底下,因为他没带伞.这是只见他那丑陋无比的妈妈撑着一把黑色的伞向他走来.嘴 里还说着"孩子,快来吧,小心淋到雨"顿时睿傻眼了,他的朋友们从没见过他的妈妈...别人会怎么想呢?大家一看到这种情景都开始大笑起来...!甚至有人对睿说"原来这就是你妈妈,这么丑,你天天跟她在一起不会害怕吗?哈哈哈哈..."哑口无言,他知道现在说什么都没有用了... 回到家,"我不想再见到你!为什么你长的这么丑?还少一只眼睛?你不是我妈妈,我不会有这么难看的妈妈!"睿的母亲并没有说什么,指示含着泪走进了房间. 转眼这么多年过去了...睿也长大了,依然是那么的英俊潇洒,有不少的女孩子追他.从那次以后她妈妈也从来没有再提过那件事情.一个月后睿的妈妈就生病死去了...她走得是那么的安详,什么也没留下除了一封信.睿拆开了她母亲临终前亲笔写的信,并读了起来: 亲爱的孩子,我是多么的爱你,为了你我什么都可以不在乎.我知道你一直认为我丑,还少一只眼睛,不配做你的妈妈.但我现在告诉你一件事情,20年前我在医院里生下了你,当时的心情不知有多高兴,仿佛上帝赐了我最珍贵的礼物.真当我要去看你的时候,医生走来,脸色还很苍白地说"对不起,你的孩子生下来就少一只眼睛..."虽然心里一下子很接受不了,像是从高空跌入了谷地,但我还是非常坚定的对医生说"把我的眼睛给他!"我从来都没有后悔过...就算那次你对我说这么残忍的话.我不后悔,也不难过.因为我爱你. 爱你的妈妈 无论睿此时此刻心中有多么的伤心和遗憾,他的妈妈都不可能再活过来了...他也只能拿这那封信痛哭而已...却什么也不能为最爱他的母亲做任何事情,也许他妈妈留给他的除了那封信以外就是那一只悲伤的眼睛了...永远地留在睿的身上,让睿在看到任何东西之前先看到他的妈妈... 1. 基于C8051F单片机直流电动机反馈控制系统的设计与研究 2. 基于单片机的嵌入式Web服务器的研究 3. MOTOROLA单片机MC68HC(8)05PV8/A内嵌EEPROM的工艺和制程方法及对良率的影响研究 4. 基于模糊控制的电阻钎焊单片机温度控制系统的研制 5. 基于MCS-51系列单片机的通用控制模块的研究 6. 基于单片机实现的供暖系统最佳启停自校正(STR)调节器 7. 单片机控制的二级倒立摆系统的研究 8. 基于增强型51系列单片机的TCP/IP协议栈的实现 9. 基于单片机的蓄电池自动监测系统 10. 基于32位嵌入式单片机系统的图像采集与处理技术的研究 11. 基于单片机的作物营养诊断专家系统的研究 12. 基于单片机的交流伺服电机运动控制系统研究与开发 13. 基于单片机的泵管内壁硬度测试仪的研制 14. 基于单片机的自动找平控制系统研究 15. 基于C8051F040单片机的嵌入式系统开发 16. 基于单片机的液压动力系统状态监测仪开发

基于PLC的三层电梯控制系统设计 开题报告

工学院毕业设计(开题报告) 题目:基于PLC的三层电梯控制设计 专业:机械械设计制造及其自动化 班级:06级(2)班 姓名:石德龙 学号:2006664220 指导教师:陈娟 日期: 2010-6-7

安徽科技学院本科生毕业论文(设计)选题申请表 基本情况课题名称 教师姓名职称 课题来源 A.科研 B.生产 C.教学 D.其它课题类型 A.论文 B. 设计 选 题 理 由 签字: 年月日 指 导 教 师 意 见签字: 年月日 审 题 意 见 教学院(部)签章: 年月日安徽科技学院本科生毕业论文(设计)开题报告书

题目基于三层电梯的PLC控制 学生姓名石德龙指导教师陈娟职称副教授 一、本课题的研究背景及意义 (1)题目背景:随着城市建设的不断发展,楼群建筑不断增多,电梯在当今社会的生活中有着广泛的应用。电梯作为楼群建筑中垂直运行的交通工具已与人们的日常生活密不可分。实际上电梯是根据外部呼叫信号以及自身控制规律等运行的,而呼叫是随机的,电梯实际上是一个人机交互式的控制系统,单纯用顺序控制或逻辑控制是不能满足控制要求的,因此,大部分电梯控制系统都采用随机逻辑方式控制。传统的电梯运行逻辑控制系统采用继电器逻辑控制线路。这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术上发展来看,这种系统将逐渐被淘汰。如何解决电梯的可靠性、维护方便等问题已成为全社会关注的焦点和大众的迫切心声。 (2)题目研究的意义:目前,由可编程序控制器和微机组成的电梯运行逻辑控制系统,正以很快的速度发展着。采用PLC控制的电梯可靠性高、维护方便、开发周期短,这种电梯运行更加可靠,并具有很大的灵活性,可以完成更为复杂的控制任务,已成为电梯控制的发展方向,其许多功能是传统的继电器控制系统无法实现。 可编程控制(Programmable Controller)系统是专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,在其内部存储执行逻辑运算、顺序控制、定时、计数和算术运算等操作的指令,通过数字式或模拟式的输入输出控制各种类型的机械设备或生产过程。通过可编程控制器可以实现由继电器实现的逻辑控制功能,而且最主要的是可编程控制器的“可编程”功能,使得当改变电梯的控制功能时,只要更改程序即可,而不需要像继电器控制系统那样改变硬件和接线。 二、本课题国内外研究现状 传统的电梯控制系统主要采用继电器—接触器进行控制,其缺点是触点比较多,故障高,可靠性差、体积大、维修工作量大等缺点,正逐步被淘汰。世界上各主要PLC生产厂家几乎都有运动控制功能,它的运动控制功能广泛地应用于各种机械,如金切削机床、金属成型机械、电梯等;随着计算机控制的发展,近年来国外工厂

常见光学仿真设计软件

1.APSS.v 2.1.Winall.Cracked 光子学设计软件,可用于光材料、器件、波导和光路等的设计 2.ASAP.v7.14/7.5/8.0.Winall.cracked/Full 世界各地的光学工程师都公认ASAPTM(Advanced Systems Analysis Program,高级系统分析程序)为光学系统定量分析的业界标准。 注:另附9张光源库 3.Pics3d.v200 4.1.28.winall.cracked 电子.光学激光2D/3D有限元分析及模形化装置软件 https://www.360docs.net/doc/223602086.html,stip.v2004.1.28.winall.cracked 半导体激光装置2D模拟软件 5.Apsys.2D/3D.v2004.1.28.winall.cracked 激光二极管3D模拟器 6.PROCOM.v2004.1.2.winall.cracked 化合物半导体模拟软件 7.Zemax.v2003.winall.cracked/EE ZEMAX 是一套综合性的光学设计仿真软件,它将实际光学系统的设计概念、优化、分析、公差以及报表集成在一起。 8.ZEBASE Zemax镜头数据库 9.OSLO.v6.24.winall.licensed/Premium OSLO 是一套处理光学系统的布局和优化的代表性光学设计软件。最主要的,它是用来决定光学系统中最佳的组件大小和外型,例如照相机、客户产品、通讯系统、军事 /外太空应用以及科学仪器等。除此之外,它也常用于仿真光学系统性能以及发展出一套对光学设计、测试和制造的专门软件工具。 10.TracePro.v324.winall.licensed/Expert TracePro 是一套能进行常规光学分析、设计照明系统、分析辐射度和亮度的软件。它是第一套以符合工业标准的ACIS(固体模型绘图软件)为核心所发展出来的光学软件,是一个结合真实固体模型、强大光学分析功能、信息转换能力强及易上手的使用界面的仿真软件,它可将真实立体模型及光学分析紧紧结合起来,其绘图界面非常地简单易学。 11.Lensview.UPS.winall.cracked LensVIEW 为搜集在美国以及日本专利局申请有案的光学设计的数据库,囊括超过 18,000个多样化的光学设计实例,支持Zemax,OSLO,Code V等光学设计软件。 12.Code V.v940.winall.licensed CODE V是美国著名的Optical Research Associates公司研制的具有国际领先水平的大型光学工程软件。 13.LightTools.v4.0/sr1.winall.cracked LightTools是一个全新的具有光学精度的交互式三维实体建模软件体系,提供最现代化的手段直接描述光学系统中

EDA电梯控制器课程设计报告

成绩评定表

课程设计任务书

摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。 电梯行业也随着科技的发展,不断地出现在人们生活的各个场所,因此,对电梯控制器的设计是一个很实用的例子,对我们掌握EDA技术的应用也有很大的帮助。 关键词:EDA技术电子信息通信自动控制

目录 1、设计要求概述 (1) 1.1 设计要求 (1) 1.2 总体设计思路 (1) 1.3 具体设计思路 (2) 2、功能模块整体结构设计 (2) 2.1 电梯控制器功能 (2) 2.2 电梯控制器设计 (3) 3、各模块详细设计 (3) 3.1底层模块设计 (3) 3.2 顶层模块设计 (6) 4、逻辑仿真与时序仿真的实现 (12) 4.1 底层设计模块的方针及参数设置 (12) 4.2 电梯分层控制模块的仿真及参数设置 (13) 4.3电梯主控制器仿真波形 (15) 5、设计结论 (15) 5.1 设计功能实现情况 (15) 5.2 设计心得 (16) 6、参考文献 (17)

1 电梯控制器的设计要求与设计思路 1.1 设计要求 十层电梯控制器的功能电梯控制器是控制电梯按顾客要求自动上下的装置。 设计要求如下: (1)每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。 (2)设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。(3)电梯每秒升(降)一层楼 (4)电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。 (5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 (6)电梯运行规则——当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到有下楼请求的最高楼层,然后进入下降模式。当电梯处于下降模式时则与上升模式相反,只响应比电梯所在位置低的下楼请求信号,由下而下逐个执行,直到最后一个下楼请求执行完毕;如果低层有上楼请求,则直接降到有上楼请求的最低楼层,然后进入上升模式。 (7)电梯初始状态为一层开门状态 1.2 总体设计思路 实验模拟生活中电梯运动控制,电梯总共十层,最简单的控制思想如下: (1)采集用户呼叫楼层,并放入相应的记忆单元中。 (2)不考虑电梯轿厢所在楼层。若有用户呼叫,电梯上行直到达到用户呼叫最大层,再下行直到到达最低层,由此构成一次行程。 (3)每完成一次行程,检测是否所用用户呼叫均已响应完毕。如果没有,电梯继续运行,直到响应完所有用户呼叫。否则,电梯停止运行。其中用户请求包括外部请求和内部请求。有外部升降请求信号需点亮相应的外部请求指示

基于单片机的智能电梯控制系统设计

基于单片机的智能电梯控制系统设计

摘要 本文介绍了一种采用单片机STC89C52芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现四层电梯的智能控制,利用单片机编程简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。本设计实现了电梯控制系统所需的一些基本功能,能通过电梯内按键或者电梯外上升、下降按键选择楼层,数码管显示实时楼层数,LED显示实时电梯运行状态。原理图和PCB部分采用protel99se专业软件来设计,实现将设计产品化。本次设计更注重了把一些新的思路加入到设计中。主要包括采用了STC89C52芯片,使用C语言进行编程,使其具有了更强的移植性,更加利于产品升级。 关键词:STC89C52;电梯控制系统;protel99se;C语言

Abstract This paper introduces a design method of using STC89C52 chip for elevator control system, mainly describes how to use microcontroller programming to achieve the intelligent four storey elevator control, the design method of microcontroller programming simple and variable, shortens the development cycle, at the same time that the elevator control system smaller and more powerful. Some of the basic functions of the design and implementation of elevator control system required by the elevator, elevator buttons or rise, decline the key to select the floor, digital tube display real-time number of floors, LED display real-time operating state of elevator. Schematic and PCB design using Protel99SE software, the design of products. This design pays more attention to some new ideas into the design. Including the use of the STC89C52 chip, the use of C language programming, which has portability stronger, more conducive to the upgrading of products. Keywords: STC89C52; elevator control system; Protel99SE; C language

毕业设计基于plc的电梯控制系统设计课程设计

东北石油大学课程设计 2013年11月29 日

东北石油大学课程设计任务书 课程电气工程课程设计 题目基于PLC的电梯控制系统设计 专业姓名学号 主要内容: 为保证电梯运行既高效节能又安全可靠,必须改进电梯控制方式。根据顺序逻辑控制的需要发展起来的可编程控制器(PLC),它是专门为工业环境应用而设计的数字运算操作的电子装置。PLC处理速度快,可靠性高,能够保证电梯正常、安全、可靠地运行。同时,由于电机交流变频调速技术的发展,电梯的拖动方式己由原来直流调速逐渐过渡到变频调速,不仅能满足乘客的舒适感和保证平稳的精度,还可以降低能耗,节约能源,减小运行费用,本文将基于PLC的变频调速方法应用到电梯系统中。 参考资料: [1]叶安丽.电梯技术基础[M].北京:机械工业出版社,2007.65-80 [2]李秧耕,何乔治,何峰峰.电梯基本原理及安装维修全书[M].北京:机械工业出 版社,2003 [3]陈伟国.电梯的速度控制研究:硕十学位论文[D].杭州:浙江工业大学,2005 [4]李雪枫,武丽梅,李立新.电梯机械系统的动态特性分析[M].机械工程师,2007 [5]钟肇新,范建东.可编程序控制器原理及应用[J].广州:华南理工大学出版社,2002 成期限2013.11.18至2013.11.24 指导教师 专业负责人 2013年11 月29 日

目录 1 设计要求 (1) 2电梯设备简介 (1) 2.1电梯的分类 (1) 2.2电梯的主要参数 (1) 2.3电梯的安全保护装置 (2) 3 PLC电梯系统的选择及其控制系统的发展 (3) 3.1电力调速系统的应用与发展 (3) 3.2电机调速系统的设计 (3) 3.3异步电机的调速方法及经济技术比较 (4) 3.4井道信号系统的设计 (7) 3.5电梯控制系统的设计 (7) 3.6可编程控制器(PLC)的选型 (8) 3.7设计思路 (8) 4 系统软件开发 (12) 4.1电梯的自检状态 (12) 4.2电梯的正常工作状态 (12) 4.3系统的软件开发过程 (12) 4.4程序框图设计 (13) 参考文献 (15) 附录A电梯梯形图控制程序 (16)

智能电梯控制系统设计

湖南文理学院 课程设计报告 课程名称:自动化系统课程设计专业班级:自动化11班学号 学生姓名: 指导教师: 完成时间:2014年11月20日报告成绩:

目录

一、设计题目 智能电梯控制系统设计 二、设计要求 利用PLC与变频器实现电梯的变频调速控制,该电梯控制系统具有同时呼梯控制、各楼层单独呼梯控制、上升、下降运行控制、轿厢位置显示等功能,电梯至少五层以上。 三、电梯控制系统控制系统设计作用与目的 随着我国经济的高速发展,微电子技术、计算机技术和自动控制技术也得到了迅速发展,电梯也已成为人类现代生活中广泛使用的运输工具。随着人们对电梯运行的安全性、舒适性等要求的提高,电梯得到了快速发展,其拖动技术已经发展到了调频调压调速,其逻辑控制也由PLC代替原来的继电器控制。 可编程控制器(PLC)因为稳定可靠、结构简单、成本低廉、简单易学、功能强大和使用方便已经成为应用最广泛的通用工业控制装置,成为当代工业自动化的主要支柱之一。电梯控制要求接入设备使用简便,对应系统组态的编程简单,具有人性化的人机界面,配备应用程序库,加快编程和调试速度。通过PLC对程序设计,提高了电梯的控制水平,并改善了电梯的电梯运行的舒适感。本文争对以上优点,对电梯运行进行了改进,使其达到了比较理想的控制效果。 四、所用设备及软件 本设计除了需要计算机,实验设备THPFSL-1/2还会用到两款软件:作图软件Altim Desinger、编程软件GX-developer。简介如表1所示。 表1 软件简介

系统总体结构原理图 主控制器是整个电梯的核心。不但要保证整个系统的稳定运行,而且要在极短的时间内对系统所有的任务进行响应。 其任务包括:接收、处理电梯的各种状态,并做出相应的动作,控制电梯的总体运行,实施对电梯驱动部分的控制,包括抱闸的松放、门机的开关、变频器低、中、高速的给出等控制。接收轿厢控制器送来的内选信号,执行内选外呼指令,向轿厢控制器、呼梯控制器发送楼层指示信号,实施安全保护等。为了实现电梯状态监控的需要,主控制器还加入了基于LCD显示的电梯参数设置、监控系统。 程序流程图

相关文档
最新文档