《电子技术基础》数字电路教案(张兴龙主编教材)

《电子技术基础》数字电路教案(张兴龙主编教材)
《电子技术基础》数字电路教案(张兴龙主编教材)

(此文档为word格式,下载后您可任意编辑修改!)

学生情况分析

该门课程所授对象是电子20和电子22班,两个班的学生都接近50人,均为二年一期学生。该批学生已经学习了《电子技术基础》的模拟电路的大部分,对专业都有了较为全面的了解,对专业课的学习方法都有一定的掌握,并学习过《电工基础》课程且有部分同学通过了电工证的考试,还学习过电子技能训练,掌握了基本工具的使用,具备一定的制作能力并有浓厚的兴趣。他们都还处于入门期,对知识的渴望较高,对专业课的反映很好。这些都是有利的方面。

不利的方面也是有的,诸如存在学生之间发展不平衡:有的课外参加过制作培训,甚至有少部分同学对电视机维修都有较好的掌握,而有同学对起码的制作还没入门,更有甚者有学生还不会使用万用表。还存在班级发展不平衡:由于电子20班与电子22班在以前的授课中专业老师不一样,各任课教师的侧重点也各不相同,使得班级之间有各方面的差异。随着《电子技术基础》一年二期的学习,有部分同学产生了畏难情绪,失去了学习兴趣。这两个班都有少部分同学是从电子23班转入的,在学生不平衡方面就尤为明显。

当然,教学过程本身就是要针对学生的不同状况做出相应的布置,让学生能学有所获。在对教材处理上,在教学方法上,在教学辅导等等各教学环节上都要有针对性的去解决问题,达到建立学生的学习兴趣,构成学生的知识个性。使学生能成为社会的中等技术工人,并具备后绪发展能力。

教材分析

该课程选用的由张龙兴主编的《电子技术基础》,由高等教育出版社出版,是教育部规划教材。全书分两篇,第一篇模拟电路基础,第二篇数字电路基础。第一篇学生已经在一年二期学习了大部分内容,只有集成运放一节没有学习。第二篇数字电路包括逻辑门电路、数字逻辑基础、组合逻辑电路、集成触发器、时序逻辑电路、脉冲的产生和整形电路、数模和模数转换、智能化电子系统简介八个章节。

在教学中不可能面面具到,就需要适度的对教材进行处理,只能以部分为重点,根据学生的实际情况和教材内容,在教学中侧重于逻辑门电路(8课时)、数字逻辑基础(10课时)、组合逻辑电路的教学(14课时)、集成触发器(16课时)、时

序逻辑电路(16课时);对脉冲波形的产生和整形电路让学生了解性掌握(4课时)。对于智能化电子系统简介、数模和模数转换章节由于内容太深,太抽象学生不易掌握,不予讲解,但在大学阶段又有较多的应用,故就鼓励学生进行自学,对于不懂的内容个别辅导。所授内容共68课时(共需17周),由于时间限制,对其他相关内容只能利用课余时间进行辅导以扩宽学生的知识面。故要求学生能利用课余时间去阅读相关资料,来达到学以至用的目的。《电子技术基础》虽然是一门基础课,但他的应用还是相当广泛的,故在教学中也应该认识到这一点,以指导学生利用所学知识灵活运用。

教学措施

在教学中要以设计或者小制作来推动学生的学习,达到建立学生学习兴趣,构造学生知识个性化的目的。本期以数字钟的设计为主线,辅导学生在课外完成设计产品的制作,以此让学生在课外广泛的阅读资料,并培养学生英文资料的阅读能力。在教学中对相应内容进行强调,联系并布置适量的任务来达到预期的效果。(教学设计)

在教学中,要根据不同的课程,用不同的方式去教学。在教学过程中,要尽量的引入一些新的信息,让学生产生兴趣,并掌握一些手段。根据《电子技术基础》的特点,我将尽量的

用EWB做一些实验演示,让学生看到波形的产生过程,变换过程,也让学生能够掌握一些电子专业软件的使用。并能用PROTEL99制作一些电路让学生在课外去做。以推动学生的纵向和横向发展。对于各章节练习要辅导学生弄懂,让学生觉得实在学懂了,才会保持较为浓厚的学习兴趣,并强调各章节的学习指导对学习的作用,让学生能真实的感受到进步的存在。(教学方式)

在教学中,要根据不同的学生提出的不同要求去调整教学方法,去改正教学方式,去完成教学辅导。要针对学生的实际情况布置学习任务。不能用一个模式去要求每一个学生,不能用同样的一道题目去要求每一个学生。应该让学生有选择性的去做自己能做的,当然要对学生有一定的辅导,不能让学生失去信心,要让学生饱有热情。要让他们看到成长的希望。要用适合于学生的方式去教学生,要用学生能接受的问题去问学生,要用学生的思想去影响学生。教育和教学都要体现学生的主体地位,要让学生主导自己的发展,教师只起辅导和监督作用。总之要用适合于学生的教育去教育学生。

(教学思想)

教学计划

第八章逻辑门电路 8课时

第九章数字逻辑基础 10课时

第十章组合逻辑电路 12课时

其中应用扩展(译码器)(2课时)

第十一章集成触发器 16课时

其中应用扩展(D触发器)(4课时)

第十二章时序逻辑电路 14课时

其中应用扩展(进制应用)(2课时)

第十三章脉冲小型产生和整形电路 4课时

总授课64课时,每周4课时共16周

第十四章数模和模数转换器课外辅导

第十五章智能化电子系统简介课外辅导

二进制计数器的工作波形 EWB演示

十进制计数器的工作波形 EWB演示

555集成定时器 PROTEL图示

“不用编程的数字钟”的制作与分析课外辅导

要达到预期效果,要利用晚自习集中辅导,对典型的问题要提出来讨论。

第一讲第1、2课时

第一节数字电路特点

教学目的:1、让学生对该科产生浓厚的兴趣

2、培养学生的学习个性,建立起学生的发展方向

3、指导好该科目的学习重点与学习方法

教学重点:如何去学习数字电路及二极管的开关特性

教学难点:让学生产生学习兴趣

教学方法:讲授法,讨论法

教学时间:2课时

教学过程:

要教好这门课程,就必需要让学生产生浓厚的学习兴趣,要达到这一目的光说说是不行的,要让学生知道在生活中的应用,相信数字电路学起来简单,并提供一些切实可行的学习方法,适当提出一些合理化要求。并就该课程的教法说与同学听,听取学生的意见,争取能用学生喜欢的方式去教育学生,为了学生的一切出发,达到教好这门课程的目的,让学生学有所获。(学生需求分析调查,以调整教学定位)

一、基础分析:

通过一年的学习,大家已经到了二年级了,有了一定的专业基础,例如电工基础,数学基础,电子基础,识图基础,具备了一定的自我分析能力,能够做好一些简单的制作。通过电子技术基础的一学期的学期,有部分同学建立起了学习兴趣,达到了一定的水平,但也有一部分同学还没有较好的入门。(引入学生情况分析)

二、学科分析:

该学科他可以独成一体,学习起来与以前的专业知识联系不大,与数学关系不密切。应用相当广泛。在我们生活的方方面面都有应用,20世纪90年代开始,整个社会进入数字化、信息化、知识化时代,数字技术与国民经济和社会生活的关系日益密切。计算机、计算机网络、通信、电视及音像传媒、自动控制、医疗、测量等无一不纳入数字技术并获得较大技术进步。例:Internet 、程控电话、移动通信、可视电话、会议电视、数字电视、数字相机、VCD 、DVD、交通灯、广告牌等等。要求有一定的想象力,要有严谨的思维习惯。要求同学们要建立起信心,做好准备来学好该科目。

讨论:如何来学习这门专业课,对老师有哪些要求?(将结果写好分析表附后页)

三、数字电路的特点及分析方法

数字通信系统:抗干扰能力强,保密性好,容量大;(例如手机)

数字化测量:精度高,功能完备,具有数控测试功能:(例如数字示波器)

数字设备:精度高、功能完备、智能化。(扩展讲数字电视和数码照相机)

计算机:最具代表性的数字系统,具有极强的信息处理和控制能力。

要从生活应用出发来讲数字电路的应用,为了发掘学生的学习积极性,让学生例兴数字电路在生活产品中的应用。

作用:提高学生的学习兴趣,提升学生的欣赏品位,扩宽学生视野。

四、数字电路学习资料来源(以提高学生的学习兴趣,扩宽知识面)

1、《电子技术基础》不同版本教材(例如康华光主编)

2、《555集成电路应用手册>

3、《电子报》

4、网络资料(部分参考网址)

1、jytdtest2003-1jsjszdlszdl.,则输入变量不同状态的组合数目为2n,如

一个输入变量为21=2种不同状态,两个输入变量为22种不同状态。

2、列表时,输入状态按n列,2n+1行画好表格,(比教材上的行数多

1,是因为要有表头一行),再将输入变量从右至左,在第一列中填

入0、1、0、1---;在第二列中填入0、0、1、1、0、0、1、1---依

次下推,直到填满表格。然后把每一行中各输入变量状态代入函数

式,计算并记下输出状态列入表中。

例题:9-16 详见P178,(突出列表的步骤和分析输出状态的过程)

练习:画出下列各式的真值表:

(1) Y=AB+AB (2)Y=ABC+A·BC+A·C

㈡由真值表列逻辑函数

方法如下:

1、从真值表上找出输出为1的各行,把每行的输入变量写成乘积形式;遇到0的输入变量加非号。

2、把乘积各项相加。

例题:9-17

该例题的讲解以讲解步骤为主,让学生理解解题步骤,掌握方法。

二、逻辑代数在逻辑电路中的应用

根据逻辑功能设计电路时,得到的并非是唯一的电路,有简有繁。应运用逻辑代数的基本定律进行化繁为简,以得到简单合理的电路。

例题9-18 根据Y=AB+AC逻辑函数,设计逻辑电路。

讲解例题时要有创造性的去吸引学生的注意力,在该例题中可以用分步讲解法来讲,先让学生根据逻辑函数画逻辑图,以复习上节课内容,[逻辑函数:Y=AB+AC;Y=A(B+C)],再让学生自己看这两个函数的关系,而逻辑电路却不一样,第一个逻辑函数要三个逻辑门电路,而第二个

则只要二个逻辑门电路。(逻辑图见P179图9-3)

例9-19 (用练习方式进行)

画出Y=A·B+C+A·C·D+B·C·D和Y=A·B+C+D的逻辑电路图

在数字电路中,由于集成与非门的大量使用,所以把一般函数式变换成只用与非门就能实现的函数式,有较大的实用价值。这种函数式应包含与、非两种运算,而且每个逻辑乘法上必须有非运算,构成与非—与非表达式。在实际制作过程中,我们也希望只使用一种集成块。

例题9-20 (用理解的方式来讲)

实际上就是逻辑函数的化简,最终的形式要成为与非—与非表达式。

教学重点:让学生理解何谓与非—与非表达式。

教学方式:示例讲解。(从两方面示例,一是逻辑函数,另一个是逻辑电路),要让学生知道如何来化简电路成为与非—与非表达式。

化简方法:在上面加二个非号,再用反演律化简。

练习:P196T9-9,

教学方法:可以让学生来讲练习的过程,以激发学生的学习兴趣,推动学习之间的相互交流。有利于掌握学生的思想,了解学生的思维方式。

教学重点:要让学生中的先进面得到发展,要让学生能够相互学习,借鉴经验。

为了达到以上目的,在学生讲解后要对学生的方式进行点评,指出好的方面,让同学们相互借鉴,同时也要指出一些不足的地方让同学们相互注意。

小结:

该堂课逻辑函数的化间为学生以后从事设计打下基础,也有利于培养学生的分析能力,在教学中要注意教学方法的先择,要结合具体的例子来

讲解,让学生能在当堂课中就能理解,在课后要有兴趣去练习,要掌握练习的难度。在教学中要穿讲有关课外利用与所学内容的联系,以促进学生在课外去练习。

作业:

1、P195T9-7

2、P195T9-8

课外练习:

1、复习今天所学内容,整理笔记。

2、预习卡诺图化简。

3、P195T9-6

(1)gyzxxtykhxt1.(n=…..),即1,2,4,8,……,不允许3,6,10,12等。

(2)相邻的两项可合并为一项,并消去一个因子;相邻的四项可合并为一项,并消去两个因子;类推,相邻的2n项可合并为一项,并消去n个因子。将合并的结果相加,即为所求的最简“与或“式。最小圈可只有一个小方格,不能化简。

在讲课过程中要用适当的例子来说明,要让学生通过实例自己总结出相同的结论。

2、圈方程组时的注意事项

(1)圈的个数应最少,圈内小方格个数应尽可能多。

(2)每圈一个新的圈时,必须包含至少一个在已圈过的圈中未出现过的最小项,否则重复而得不到最简式。

由于卡诺的化简实际上就是圈方程组,因此在这一过程中,不要太快,要让学生在当堂能够接受,故对于上一项要让学生有深刻体会,否则学生是不太易接受的。

(3)每一个取值为“1“的小方格可被圈多次,但不能遗漏。

(4)在卡诺图中,圈的方框不同,则化简的结果也不同,但它们可以相互转换,其结果还是相同的。只是逻辑式的繁简程度不同。

的第为了让学生能够看到这一效果,要求学生做一练习,P196的T9

-12

3小题,让发现不同答案的同学,让他们在黑板上做出来,然后进行分析,最终我们用逻辑函数来化简,看各个逻辑函数是不是一个函数。

(5)有时也可采用圈0的方法来化简逻辑函数。但是函数必须取“反”。

同样我样要用实际的例子来说明这一问题。我们就从P188的图9-15来说明,图a,b在卡诺图中是互补的,我们就可以把空余部分补0再来看就很明显了。,c,d也是一样。对于复杂的逻辑函数也是一样的。请同学们在课外用一些例子加以证明。

3、任意项的使用

教学观点:只要让学生知道有任意项,掌握如何利用任意项,对于在实际中的应用就不多讲,必竟学生还在入门期不能让学生有太多的不知道。

小结:

该堂课的内容较多,要求学生理解掌握的东西较多,所以在讲解中要注意学生的实际掌握情况,要针对学生的情况进行调整,在浅显的讲明化简内容后要以练习为主,让学生在练习中去理解,去建立自己的学习方法。为了调动学生的学习积极性,在授课中要讲明此类电路的实际应用。

练习:

P196的T9-11及T9-12

作业:

1、. 写出图(a )、图(b )电路的逻辑函数表达式,并将结果化为最简与或表达式的形式。

2、提高报警信号的可靠性,在有关部位安置了3 个同类型的危险报警器,只有当 3 个危险报警器中至少有两个指示危险时,才实现关机操作。试画出具有该功能的逻辑电路。

课后作业:

1、在网上查阅有关资料,了解卡诺图的应用。建议网站:

1、gyzxxtykhxt1.cgi-binlb5000topic.cgi?forum=17&topic=438&show=0有一些习题

和答案,同学们可以在上面找到一些有用的贴子。

https://www.360docs.net/doc/233696661.html,matter_chapter4_3.JXC1zhykch5120neirongfd6.doc

?t_res_id=113&teacher

第二十七讲

课题:T触发器

教学目的:让学生掌握T触发器的逻辑功能

教学重点:T触发器的逻辑功能

教学难点:T触发器的工作原理分析

教学方法:讲授法

教学时间:2课时

教学过程:

一、复习引入:

复习D触发器的逻辑功能。

二、新授:

(一)电路的形式:

就是让K端与J端接在一起,那么输入端就是在JK触发器的基础上变化得来的,那么他的逻辑功能也就是JK触发器的一个部分。

(二)工作原理:

CP=0时,触发器不工作,处于维持状态,控制信号让输入端无效,不用考虑输出的状态。

CP=1时,触发器的功能如下:(重点)

T=0时,次态=现态;

T=1时,次态与现态相反:触发器翻转,完成计数功能。

关于T触发器和T’触发器电路主要说明下列几点:

(1)这两种触发器都是从主从JK触发器通过不同接线而来的,对这两种触发器的工作原理分析可借助主从JK触发器电路进行。

(2)当T’=1时,这两个触发器具有相同的功能。T’触发器在T’=0时,它的输出状态不变。

例1.已知T触发器的CP脉冲、T的输入波形,试画出输出波形。(如下左图)

触发器的逻辑功能是指触发器次态Q n+1和输入信号及现态Q n之间的逻辑关系。

可以用功能表、特性方程、状态转换图(状态图)等方法来描述。按照逻辑功能的不同,一般把触发器分成RS、JK、D、T四种类型。表6.1示出了四种类型触发器功能描述方法。

表6.1

小结:

通过这堂课要求学生能够区分各种触发器的逻辑功能,掌握各自的用途,找到有效的学习方法,能够达到举一反三的效果。

作业:

1.用JK触发器接成T触发器,正确接法是将输入端JK 并联。(P187)

2.要使JK触发器实现Q n+1= Q n的功能,应使J= 1 ,K= 1 。

3.JK触发器具有置0、置1、保持和计数翻转功能。

4.D触发器具有置0和置1功能。

5.仅具有置1和置0功能的触发器称为D触发器。

6.TTL型触发器正常工作时,异步置位(S D)、复位(R D)端的正确接

法是接1。

7.要使触发器异步置位,应使S D= 0 、R D= 1 ;要使触发器异步复位,

应使

S D= 1 、R D= 0 。

第二十八讲

课题:数码寄存器

教学目的:让学生掌握时序逻辑电路的分析方法

教学重点:寄存器的分析方法

教学难点:寄存器的工作原理

教学方法:讲授法

教学时间:2课时

教学过程:

一、复习引入:

复习D触发器的逻辑功能。

二、新授:

1、D触发器的基本知识:

① D触发器的工作原理复习

②用波形法来分析D触发器的工作过程

A、找到电路工作的有效点,看控制信号是上跳变有效还是下跳变

有效。

B、找到输出与输入的对应关系。输出的现在等于D端的次态。

最好用波形法来说明,用二种不同情况加以说明,一个是D与CP信号不是同时跳变的情况,一种是D与CP同时跳变的情况,这样有利于学生能够认清D触发器的工作情况。用步进法来推动学生的思考积极性,让学生自己总结知识结论,采用推断性的方式来教学,使学生易于接受。]

2、由D触发器来分析数码寄存器

分析书上P230图12-2图的模式与D触发器的联系

下图是由D触发器组成的四位数码寄存器。

分析电路的工作波形:

0 1 2 3 4 5 6 7 8 9 10

11

3、总结出电路的功能:

输出端的信号是上一次输入端的情况。就是把数码进行了寄存器。

数码寄存器:在数字系统中,常要把二进数码0和1暂

时存放起来.能存放数码的电路称为数码寄存器.

种类:

1.双拍接收方式:所谓双拍就是两步.因此,嗓拍接收方式是两步完成接收数码过程.

2.单拍接收方式:所谓单拍,就是一步.因此,单拍接收方式是一步完成接收数码过程.

(一)双拍接收方式

四位双拍数码寄存器如图:

1.是由四个基本RS触发器和四控制门(“与非”门)组成.

2.四个触发器由高向低依次排列为F4F3F2F1.

3.四位数码由高向低依次排列为D4D3D2D1.

4.每个触发器和复位端R连接起来,接”0”负脉冲,其目的是

送数前,使个触发器清零,即置”0”状态.

5.触发器的各置位端S受各控制门输出端的控制.

6.输入数码经控制门存在各触发器的输出端

小结:

该堂课的目的主要在于培养学生的分析电路的能力,注重于方法的讲解,有利于以后进行教学,将方法系统化有助于学生较好的掌握知识。

作业:

1、画出数码寄存器的电路图

2、用波形法分析出电路的工作过程。

课外作业:

1、复习数码寄存器

2、预习移位寄存器的内容

在下列网址查找相关内容,扩充知识面,有利于培养学生的学习兴趣。bandaoti2323-1.kejiandiangongnetpagesd22_2_1.#1

《数字电子技术基础》 阎石编著_数字电路教案

数字电路教案 本课程理论课学时数为70,实验24学时。各章学时分配见下表:

第一章逻辑代数基础 【本周学时分配】 本周5学时。周二1~2节,周四3~5节。 【教学目的与基本要求】 1、掌握二进制数、二—十进制数(主要是8421 BCD码) 2、熟练掌握逻辑代数的若干基本公式和常用公式。 3、熟练掌握逻辑函数的几种表达形式。 【教学重点与教学难点】 本周教学重点: 1、绪论:重点讲述数字电路的基本特点、应用状况和课程主要内容。 2、逻辑代数的基本运算:重点讲述各种运算的运算规则、符号和表达式。 3、逻辑代数的基本公式和常用公式:重点讲述逻辑代数的基本公式与普通代数公式的区别,常用公式的应用背景。 4、逻辑函数的表示方法:重点讲述各种表示方法的特点和相互转换方法。 本周教学难点: 反演定理和对偶定理:注意两者之间的区别、应用背景和变换时应注意的问题。【教学内容与时间安排】 一、绪论(约0.5学时) 1、电子电路的分类。 2、数字电路的基本特点。 3、数字电路的基本应用。 4、本课程的主要内容; 5、本课程的学习方法和对学生的基本要求。 二、数制与码制(约1.5学时)(若前置课程已学,可作简单复习0.5学时) 1、几种不同进制(二、八、十、十六进制)。 2、几种不同进制相互转换。 3、码制(BCD码)。 三、逻辑代数 1、基本逻辑运算和复合逻辑运算:与、或、非运算是逻辑代数的基本运算;还可以形成其他复合运算,常用的是与非、或非、与或非、异或、同或运算。(约0.5学时) 2、常用公式(18个)(约0.5学时) 3、基本定理(代入定理、反演定理、对偶定理)(约0.5学时) 4、逻辑函数的概念及表示方法(约0.5学时) 5、逻辑函数各种表示方法间的转换:常用的转换包括:函数式←→真值表;函数式←→逻辑图(约1学时)

《电子线路实验》教学大纲数字电路实验

《电子线路实验》教学大纲 数字电路实验 课程编号: 课程名称:电子线路实验(数字)英文名称:Experiments of Electronics Circuits 学时:20(30)学分:1 课程类型:必修课程性质:公共基础课 适用专业:通院、电院、机电院各专业先修课程:模拟、数字、高频电路 开课学期:第四和第五学期开课院系:电工电子实验中心、通信工程、电子工程学院 一、课程的教学目标与任务 通过电子线路实验,培养学生的基本实验技能,加深对低频、高频和数字电路理论的理解,学会常用仪器仪表的原理和使用。使学生掌握常用电子线路的设计、组装、调整和测试技能,并初步具备工程实践能力,以及应用计算机仿真软件分析与设计实验电路的能力。 二、本课程与其它课程的联系和分工 本课程是通信工程学院、电子工程学院、机电工程学院各专业共同必修的技术基础实践教学课。是模拟电子线路、数字电路、高频电子线路理论课教学的延伸,是培养学生实际工作能力,启发创新意识的重要环节。 三、课程内容及基本要求 实验一:基本门测试及振荡器研究实验(4学时) 熟悉基本门电路的工作原理;学会基本门的测试;熟悉用基本门构成振荡电路;掌握用示波器观察和测量振荡器各级的波形及其参数的方法;学会频率计的正确使用。 实验二:组合逻辑电路设计(基本)(4学时) 1)掌握组合逻辑电路的设计方法。 2)进一步熟悉和掌握集成电路功能测试方法。 3)学习组合逻辑电路中故障的查找、排除方法及整个电路的调试。 实验三:编码器与译码器(4学时) 1)学习中规模集成编码器和译码器的工作原理、器件结构和使用方法; 2)掌握编码器和译码器的工作原理和设计方法。 实验三:时序逻辑电路实验(基本)(4学时) 1)熟悉常用触发器、计数器、移位寄存器的工作原理、逻辑功能和译码显示原理。 2)学会用现有集成计数器组成N进制计数器。 实验四:综合实验I(基本)(4(8)学时)

数字电子技术基础教案

数字电子技术基础教案 太原工业学院 第1章逻辑代数基础

目的与要求: 熟练掌握基本逻辑运算和几种常用复合导出逻辑运算;熟练运用真值表、逻辑式、逻辑图来表示逻辑函数。 重点与难点: 重点:三种基本逻辑运算和几种导出逻辑运算;真值表、逻辑式、逻辑图之间的相互转换。难点:将真值表转换为逻辑式。 所谓数字电路,就是用0和1数字编码来表示和传输信息的系统,即信息数字化(时代)。 数字电路与传统的模拟电路比较,其突出的优点是:(如数字通 信系统)抗干扰能力强、保密性好、计算机自动控制、(数字测量 仪表)精度高、智能化、(集成电路)可靠性高、体积小等。 数字电子技术基础,是电子信息类各专业的主要技术基础课。 1、1概述 一、模拟量(时间、温度、压力、速度、流量):时间上和幅值上 连续变化的物理量; 模拟信号(正弦交流信号):表示模拟量的信号。 数字量:时间上和幅值上都不连续变化的物理量(工厂中生产的产品个数); 数字信号、数字电路。 数字电路中的数字信号 采用0、1两种数值(便于实现)(位bit 、拍) 0、1表示方法:电位型:电位高低(不归零型数字信号) 脉冲型:有无脉冲(归零型数字信号) 二、数制及其转换 由0、1数值引入二进制及其相关问题。 常用数制:举例:十进制、二进制(双)、七进制(星期)、 十二进制(打)等。 特点:基数:数制中所用数码的个数; 位权。 1. 十进制数 基数:10 位权:n 10 表达式:10)(N =(P2 式1-1)=i n m i i a 101 ?∑--= (1-1) 推广到任意进制R : 基数:R 位权:n R

表达式:R N )(=(P2 式1-2)=i n m i i R a ?∑--=1 (1-2) 2. 二进制数 表达式:2)(N =(P3 式1-3)=i n m i i a 21 ?∑--= (1-3) 位权:以K 为单位;按二进制思维(如1000个苹果问题); 例如:(1101.01)2= 0-16对应的二进制数 特点:信息密度低,引入八、十六进制。 3. 八进制、十六进制 八进制: 基数:8(0-7) 位权:n 8 表达式:8)(N == i n m i i a 81?∑--= ( 1-4) 十六进制: 基数:16(0-9,A ,B ,C ,D ,E ,F ) 位权:n 16 表达式:16)(N ==i n m i i a 161?∑--= 特点:和二进制有简单对应关系;信息密度高,便于书写。 4. 不同进制数的转换 ⑴ R →十:按位权展开,再按十进制运算规则运算。 例1-1、1-2、1-3(P4) ⑵ 十→R :分两步 整数部分:除R 取余,注意结束及结果; 小数部分:乘R 取整,注意精度及结果; 结果合并: ⑶ R=2k 进制之间的转换 二?八:3位?1位, 二?十六:4位?1位, 八?十六:以二进制为过度, 5. 进制的另一种表示方法: B (inary )----二; H(exadecimal)----十六; D(ecimal)----十; O----八 三、二—十进制代码(BCD 代码)

模拟数字电路基础知识

第九章 数字电路基础知识 一、 填空题 1、 模拟信号是在时间上和数值上都是 变化 的信号。 2、 脉冲信号则是指极短时间内的 电信号。 3、 广义地凡是 规律变化的,带有突变特点的电信号均称脉冲。 4、 数字信号是指在时间和数值上都是 的信号,是脉冲信号的一种。 5、 常见的脉冲波形有,矩形波、 、三角波、 、阶梯波。 6、 一个脉冲的参数主要有 Vm 、tr 、 Tf 、T P 、T 等。 7、 数字电路研究的对象是电路的输出与输入之间的逻辑关系。 8、 电容器两端的电压不能突变,即外加电压突变瞬间,电容器相当于 。 9、 电容充放电结束时,流过电容的电流为0,电容相当于 。 10、 通常规定,RC 充放电,当t = 时,即认为充放电过程结束。 11、 RC 充放电过程的快慢取决于电路本身的 ,与其它因素无关。 12、 RC 充放电过程中,电压,电流均按 规律变化。 13、 理想二极管正向导通时,其端电压为0,相当于开关的 。 14、 在脉冲与数字电路中,三极管主要工作在 和 。 15、 三极管输出响应输入的变化需要一定的时间,时间越短,开关特性 。 16、 选择题 2 若一个逻辑函数由三个变量组成,则最小项共有( )个。 A 、3 B 、4 C 、8 4 下列各式中哪个是三变量A 、B 、C 的最小项( ) A 、A B C ++ B 、A BC + C 、ABC 5、模拟电路与脉冲电路的不同在于( )。 A 、模拟电路的晶体管多工作在开关状态,脉冲电路的晶体管多工作在放大状态。 B 、模拟电路的晶体管多工作在放大状态,脉冲电路的晶体管多工作在开关状态。 C 、模拟电路的晶体管多工作在截止状态,脉冲电路的晶体管多工作在饱和状态。 D 、模拟电路的晶体管多工作在饱和状态,脉冲电路的晶体管多工作在截止状态。 6、己知一实际矩形脉冲,则其脉冲上升时间( )。 A 、.从0到Vm 所需时间 B 、从0到2 2Vm 所需时间 C 、从0.1Vm 到0.9Vm 所需时间 D 、从0.1Vm 到 22Vm 所需时间 7、硅二极管钳位电压为( ) A 、0.5V B 、0.2V C 、0.7V D 、0.3V 8、二极管限幅电路的限幅电压取决于( )。 A 、二极管的接法 B 、输入的直流电源的电压 C 、负载电阻的大小 D 、上述三项 9、在二极管限幅电路中,决定是上限幅还是下限幅的是( ) A 、二极管的正、反接法 B 、输入的直流电源极性 C 、负载电阻的大小 D 、上述三项 10、下列逻辑代数定律中,和普通代数相似是( ) A 、否定律 B 、反定律 C 、重迭律 D 、分配律

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数字电子技术实验教案

湖南工学院教案用纸 实验1基本门电路逻辑功能测试(验证性实验) 一、实验目的 1?熟悉基本门电路图形符号与功能; 2?掌握门电路的使用与功能测试方法; 3?熟悉实验室数字电路实验设备的结构、功能与使用。 二、实验设备与器材 双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00, 74LS20 , 74LS86,导 线 三、实验电路与说明 门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单 元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成 度高,抗干扰能力强。 1.74LS00 —四2输入与非门功能与引脚: 2. 74LS20 —双4输入与非门功能与引脚: 3. 74LS86 —四2输入异或门功能与引脚: 四、实验内容与步骤 1.74LS00功能测试: ①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔

动开关进行测试,结果记入自拟表格。 湖南工学院教案用纸

2. 74LS20功能测试: 实验过程与74LS00功能测试类似。 3. 74LS86功能测试: 实验过程与74LS00功能测试类似。 4. 用74LS00构成半加器并测试其功能: ①根据半加器功能:S A B , C AB,用74LS00设计一个半加器电路; ②根据所设计电路进行实验接线; ③电路输入接逻辑电平开关,输出接LED显示器; ④通电源测试半加器功能,结果记入自拟表格。 5. 用74LS86和74LS00构成半加器并测试其功能: 实验过程与以上半加器功能测试类似。 五、实验报告要求 1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。2?在报告中回答以下思考题: ①如何判断逻辑门电路功能是否正常? ②如何处理与非门的多余输入端? 实验2组合逻辑电路的设计与调试(设计性综合实验) 一、实验目的 1?熟悉编码器、译码器、数据选择器等MSI的功能与使用; 2?进一步掌握组合电路的设计与测试方法; 3?学会用MSI实现简单逻辑函数。 二、实验设备与器材

模拟和数字电路实验(I)教学大纲

《模拟和数字电路实验(I)》教学大纲 《模拟和数字电路实验I》中实验教学内容分低频电路和高频电路,根据教学要求,制定本大纲。本大纲适用电子科学与信息专业本科生,其它专业的相关课程可以参考本大纲。 《模拟和数字电路实验I》课程计划1.5个学分,48课时,低频电路实验部分计划36学时,高频电路实验部分计划12学时。实验内容在保留了传统的验证性实验的基础上,增设了计算机仿真实验,如EWB,PSPICE等操作软件的应用。 实验课程名称:模拟和数字电路实验I实验课程性质:专业基础 实验室名称:模拟电路实验室本大纲主撰人:钱晓英 实验课程编号: 适用专业:电子科学与信息专业 开设实验项目数:17个 一、实验教学目标与要求: 1.巩固和加深电子线路的基础理论和基本概念,学会灵活应用电子线路的技能。 2.熟悉常用电子元件和器件的性能,掌握基本测量方法和使用方法。 3.通过实验能正确且较熟练地掌握常用仪器如示波器、毫伏表、高、低频信号发生器、失真度仪、数字式频率计、晶体管特性图示仪……等基本工作原理和性能,掌握调节和使用方法。 4.掌握电子线路基本参数的测量原理和方法。 5.掌握电子线路安装、调整技术,培养分析、判断电路故障的能力和解决问题的方法。6.了解EDA知识,掌握用EWB、PSPISE等软件分析电路的方法。 二、考核办法: 1、实验成绩由两部分组成:平时分和考试分。 2、平时分占总分的70℅。平时分的考核标准为实验内容的完成情况,实验报告情况。 3、考试分占总分的30℅。考试形式可以是操作考试,也可以是笔试。 三、课程内容和课时分配

注:实验项目19个,54课时,打“*”为选做项目,可以根椐要求选择,完成48课时的教学任务。 二、实验教科书、参考书 (一)教科书 1、《TPE—A型系列模拟电路实验箱》使用说明书 2、《模拟电路实验指导书》清华大学科教仪器厂 (二)参考书 1、《模拟电子线路》华中师范大学物理系万嘉若林康运等编 2、《综合电子技术问答》汪源睿编著科学出版社 3、《电子技术基础》(模拟部分)华中工学院电子教研室康华光高等教育出版社 4、《模拟电子技术基础简明教程》清华大学电子学教研室编 5、《电子线路(非线性部分)》谢嘉奎宣月清编 2003年7月订

数字电路教案-课题二 寄存器(2课时)

理论课授课教案

教学过程和内容 时间分配 与教法1.由D触发器构成的数码寄存器 (1)电路组成 图5-1 单拍工作方式的数码寄存器 CP:接收脉冲(控制信号输入端) (2)工作原理 当CP↑时,触发器更新状态,Q3Q2Q1Q0=D3D2D1D0,即接收输入 数码并保存。 单拍工作方式:不需清除原有数据,只要CP↑一到达,新的数据就会存 入。 常用4D型触发器74LS175、6D型触发器74LS174、8D型触发器74LS374 或MSI器件等实现。 2.由D型锁存器构成的数码寄存器 (1)锁存器的工作原理 送数脉冲CP为锁存控制信号输入端,即使能信号(电平信号)。 ②当CP=1时,D数据输入不影响电路的状态,电路锁定原来的数据。 即当使能信号结束后(锁存),数据被锁住,输出状态保持不变。 二、移位寄存器 移位寄存器除了具有存储数码的功能外,还具有移位功能。 移位功能:寄存器中所存数据,可以在移位脉冲作用下逐位左移或右移。 在数字电路系统中,由于运算(如二进制的乘除法)的需要,常常要求 实现移位功能。

1.单向移位寄存器:是指仅具有左移功能或右移功能的移位寄存器。 教学过程和内容 时间分配 与教法1)右移位寄存器 ①电路组成 图5-4 4位右移位寄存器 串行输入 同步时序逻辑电路 ②工作过程(仿真运行图5-4电路。) 将数码1101右移串行输入给寄存器(串行输入是指逐位依次输入)。 在接收数码前,从输入端输入一个负脉冲把各触发器置为0状态(称为清零)。 ④时序图 CP顺序输入D SR输出 0 1 0 0 0 0 1 1 1 0 0 0 2 0 1 1 0 0 3 1 0 1 1 0 4 0 1 0 1 1 5 0 0 1 0 1 6 0 0 0 1 0 7 0 0 0 0 1 8 0 0 0 0 0

数字逻辑实验教案

滁州学院计算机与信息工程学院 实验课程教案 课程名称:数字电路分析与设计 授课教师:姚光顺 授课对象:11网工、计科 授课时间:20XX年2月-2012月7月 滁州学院计算机与信息工程系 20XX年2月 《数字逻辑》实验教学大纲

课程编号: 课程名称:数字逻辑 英文名称:Digital Logic 课程类型:专业基础课 课程属性:独立设课 总学时:16总学分:0.5 开设学期:2 适用专业:计算机科学与技术网络工程 先修课程:大学物理电路原理 一、实验课程简介 数字逻辑实验,是数字逻辑课程教学内容的延伸和加强。在电子产品广泛应用的前提下,对于每一个大学生,具备一定电工电子基本知识和应用能力是必不可少的。因此,数字逻辑实验教学是按在相关理论教学的基础上,根据教学实际情况所开设的重点技术基础实验课程。通过实验,可以加深学生对课程内容中重点、难点的理解,培养其动手能力。 二、实验教学目标与基本要求 本课程的作用与任务是:使学生进一步掌握数字逻辑电路的分析与设计的基本方法,了解数字逻辑物理器件的主要技术参数,以及物理设计中的制作、调试、故障诊断的基本技能。要求学生在实验原理指导下,熟悉和掌握常用中、大规模集成电路的功能和在实际中应用的方法,具备基本电路的设计能力。培养学生检查与排除电路故障、分析和处理实验结果、分析误差和撰写实验报告的能力,旨在培养学生综合运用知识能力、严谨细致的工作作风和一丝不苟的科学态度。 三、本实验课程的基本理论与实验技术知识 本实验课基于门电路、组合逻辑电路、触发器、时序逻辑电路、A/D和D/A转换的基本理论而设定。 四、实验方法、特点与基本要求 实验分为实验预习、实验操作、实验总结三个步骤: 1、实验预习 1) 明确实验目的,理解实验原理; 2) 了解实验环境; 3) 了解实验方法,拟定实验的操作步骤; 2、实验操作 1) 建立实验环境,进行实验操作,培养实践动手能力 2) 实验过程中认真观察实验现象,详细记录实验结果 3) 实验结束前,整理好实验设备,经指导教师验收方可退出实验室 3、实验总结 通过对实验记录的整理,以加深对所学理论知识的理解,不断总结、积累经验,从而提高动手能力。

数字电路课程教案

课时授课计划 - 1 课号:1 (共8学时理论6学时实验0学时习题2学时) 课题:第1章绪论 1.1 概述 1.2 数制和码制 目的与要求: 了解本门课程的基本内容; 了解数字电路的特点及应用、分类及学习方法; 掌握二、八、十、十六进制的表示方法及相互转换; 知道8421BCD码、余三码、格雷码的意义及表示方法。 重点与难点: 重点:数制与码制的表示方法; 难点:二、八、十六进制的转换。 教具: 课堂讨论: 离散信号; 二、十、八、十六进制的特点及表示方法; 码的作用; 8421BCD码的特点及应用。 现代教学方法与手段: 数字电路网络课程 PowerPoint 复习(提问): 什么是模拟信号模拟电路; 什么是二进制代码。 授课班次: 课时分配:

提纲 第1章绪论 1.1 概述 1 . 1 . 1 数字信号和数字电路 1、数字信号与模似信号 2、模拟电路与数字电路 1 . 1 . 2 数字电路的分类 1、按电路类型分类 2、按集成度分类 3、按半导体的导电类型分类 1 . 1 . 3 数字电路的优点 1、易集成化 2、抗干扰能力强,可靠性高 3、便于长期存贮 4、通用性强,成本低,系列多 5、保密性好 1 .1 .4 脉冲波形的主要参数 1.脉冲幅度Um 2.脉冲上升时间 3.脉冲下降时间 4.脉冲宽度 5.脉冲周期 6.脉冲频率 7.占空比q 1.2 数制和码制 1 . 2 . 1 数制 一、十进制 二、二进制 三、八进制和十六进制 1 . 2 .2 不同数制间的转换 一、各种数制转换成十进制 二、十进制转换为二进制 三、二进制与八进制、十六进制间相互转换 1 . 2 . 3 二进制代码 一、二-十进制代码 8421码、5421码和余3码 二、可靠性代码 1.格雷码 2.奇偶校验码 作业:

数字电路教案

皖西学院教案 学年第学期 课程名称数字电子技术 授课专业班级电气 授课教师张斌 职称副教授 教学单位机电学院 教研室

学期授课计划说明

单元教案

分教案

从集成度不同 数字集成电路可分为小规模、中规模、大规模、超大规模和甚大规模五类。 、数字集成电路的特点 )电路简单,便于大规模集成,批量生产 )可靠性、稳定性和精度高,抗干扰能力强 )体积小,通用性好,成本低. )具可编程性,可实现硬件设计软件化 )高速度低功耗 )加密性好 、数字电路的分析、设计与测试 ()数字电路的分析方法 数字电路的分析:根据电路确定电路输出与输入之间的逻辑关系。 分析工具:逻辑代数。 电路逻辑功能主要用真值表、功能表、逻辑表达式和波形图。 () 数字电路的设计方法 数字电路的设计:从给定的逻辑功能要求出发,选择适当的逻辑器件,设计出符合要求的逻辑电路。 设计方式:分为传统的设计方式和基于软件的设计方式。 模拟信号与数字信号 . 模拟信号 时间和数值均连续变化的电信号,如 正弦波、三角波等 、数字信号 在时间上和数值上均是离散、幅值只有和两种状态的信号。 数字电路和模拟电路:工作信号,研究的对象不同,分析、设计方法以及所用的数学工具也相应不同

教学内容纲要备注、模拟信号的数字表示 由于数字信号便于存储、分析和传输,通常都将模拟信号转换为数字信 号. →模数转换。 数字信号的描述方法 、二值数字逻辑和逻辑电平 二值数字逻辑:、数码表示数量时称二进制数,表示事物状态时称二值逻 辑。 表示方式:、在电路中用低、高电平表示、两种逻辑状态 、数字波形 数字波形是信号逻辑电平对时间的图形表示。 比特率每秒钟转输数据的位数 ()数字波形的两种类型:归零型和非归零型 ()周期性和非周期性 ()实际脉冲波形及主要参数 ()时序图表明各个数字信号时序关系的多重波形图。 课后作业

《电子技术基础》数字电路教案(张兴龙主编教材)

学生情况分析 该门课程所授对象是电子20和电子22班,两个班的学生都接近50人,均为二年一期学生。该批学生已经学习了《电子技术基础》的模拟电路的大部分,对专业都有了较为全面的了解,对专业课的学习方法都有一定的掌握,并学习过《电工基础》课程且有部分同学通过了电工证的考试,还学习过电子技能训练,掌握了基本工具的使用,具备一定的制作能力并有浓厚的兴趣。他们都还处于入门期,对知识的渴望较高,对专业课的反映很好。这些都是有利的方面。 不利的方面也是有的,诸如存在学生之间发展不平衡:有的课外参加过制作培训,甚至有少部分同学对电视机维修都有较好的掌握,而有同学对起码的制作还没入门,更有甚者有学生还不会使用万用表。还存在班级发展不平衡:由于电子20班与电子22班在以前的授课中专业老师不一样,各任课教师的侧重点也各不相同,使得班级之间有各方面的差异。随着《电子技术基础》一年二期的学习,有部分同学产生了畏难情绪,失去了学习兴趣。这两个班都有少部分同学是从电子23班转入的,在学生不平衡方面就尤为明显。 当然,教学过程本身就是要针对学生的不同状况做出相应的布置,让学生能学有所获。在对教材处理上,在教学方法上,在教学辅导等等各教学环节上都要有针对性的去解决问题,达到建立学生的学习兴趣,构成学生的知识个性。使学生能成为社会的中等技术工人,并具备后绪发展能力。

教材分析 该课程选用的由张龙兴主编的《电子技术基础》,由高等教育出版社出版,是教育部规划教材。全书分两篇,第一篇模拟电路基础,第二篇数字电路基础。第一篇学生已经在一年二期学习了大部分内容,只有集成运放一节没有学习。第二篇数字电路包括逻辑门电路、数字逻辑基础、组合逻辑电路、集成触发器、时序逻辑电路、脉冲的产生和整形电路、数模和模数转换、智能化电子系统简介八个章节。 在教学中不可能面面具到,就需要适度的对教材进行处理,只能以部分为重点,根据学生的实际情况和教材内容,在教学中侧重于逻辑门电路(8课时)、数字逻辑基础(10课时)、组合逻辑电路的教学(14课时)、集成触发器(16课时)、时序逻辑电路(16课时);对脉冲波形的产生和整形电路让学生了解性掌握(4课时)。对于智能化电子系统简介、数模和模数转换章节由于内容太深,太抽象学生不易掌握,不予讲解,但在大学阶段又有较多的应用,故就鼓励学生进行自学,对于不懂的内容个别辅导。所授内容共68课时(共需17周),由于时间限制,对其他相关内容只能利用课余时间进行辅导以扩宽学生的知识面。故要求学生能利用课余时间去阅读相关资料,来达到学以至用的目的。《电子技术基础》虽然是一门基础课,但他的应用还是相当广泛的,故在教学中也应该认识到这一点,以指导学生利用所学知识灵活运用。

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

《数字电子技术课程设计》教学大纲

教学大纲 课程名称数字电子技术课程设计课程负责人 开课系部机电工程系 教研室电气自动化 二0一四年四月一日

《数字电子技术课程设计》教学大纲 一、课程基本信息 课程编号: 课程名称:数字电子技术课程设计 英文名称:A Course Design on Digital Electronic Technology 适用专业:电气工程及其自动化类专业 先修课程:电路原理、模拟电子技术、数字电子技术 课程性质:专业基础课 设计周数:1周 学分:1分 二、课程设计的性质、目的和任务 数字电子技术课程设计是电路分析、模拟电子技术、数字电子技术等课程之后的一门理论与实践相结合的综合设计性课程,目的在于提高和增强学生对电子技术知识的综合分析与应用能力。这对于提高学生的电子工程素质和科学实验能力非常重要,是电子技术人才培养成长的必由之路。数字电子技术课程设计应达到以下目的: (1)加深对所学理论知识的理解,并能将其熟练应用,做到理论与实际相结合; (2)学会查寻资料、方案比较,以及设计计算及制作调试等环节,进一步提高分析解决实际问题的能力; (3)要求学生根据技术指标进行理论设计,并制作调试完成,培养学生分析问题、解决问题的实践能力。 对本次课程设计,原则上指导老师只给出大致的设计要求,在设计思路上不框定和约束同学们的思维,所以同学们可以发挥自己的创造性,并力求设计方案凝练可行、思路独特、效果良好。 三、课程设计的内容 以《电路分析》、《模拟电子技术》和《数字电子技术》等课程中所涉及到的电阻、电容、电感元件、无源滤波电路、变压器、二极管、三极管、场效应管及

基本放大电路、功率放大电路、集成运算放大电路、信号发生器、直流电源、门电路及触发器、小规模集成电路SSI、中规模集成电路MSI为基础,两人一组分工协作、独立设计具有可靠性高及功能明确的实际应用价值的电子电路,最后编写课程设计总结报告。设计内容可参考设计题目,也可根据自身情况自己拟定。 参考题目如下: 1.数字电子钟逻辑电路设计:设计一个多功能数字钟,要求能准确计时并以数字形式显示时、分、秒的时间,能校正时间;(如准点报时、定时闹钟等)2.智力竞赛抢答器逻辑电路设计:设计一个可供四组参赛的数字式竞赛抢答器,每组设置一个抢答按钮,要求具有第一抢答信号的鉴别和锁存功能,具有计分及计时功能,设置犯规报警电路。(电路具有鉴别和锁存功能,用数码管显示第一抢答组别且该组别对应指示灯亮,电路的自锁功能,使其余抢答开关不起作用;有主持人开关、有复位功能;增加部分扩展功能(如抢答计时及加分、减分电路等) 3.交通信号灯控制器逻辑电路设计:满足绿灯30秒,黄灯5秒,红灯35秒的时序。采用两位数码显示器显示南北方向时间。 4.汽车尾灯控制电路设计:转向侧的3灯应按全灭、1灯亮、2灯亮、3灯亮得顺序动作,周期性明亮与暗,一周约需一秒;当紧急闪烁起作用时,六个尾灯大约以1Hz的频率一致地闪烁着亮与暗;制动时,若转弯开关未合上(或错误地将两个开关均合上的情况)所有六个尾灯均连续燃亮。 5.数字温度计逻辑电路设计:设计一个可以测量温度范围0-800C的数字式温度计,精度± 10C。 6.多路防盗报警电路设计:采用多路输入、同一报警输出方式实现,输入端带延时触发功能,具有显示报警地点功能。 7.电梯控制电路设计:设计一个简易4层电梯控制电路,能记忆电梯内、外的所有请求信号,并按照电梯运行规则按顺序响应,每个信号保留至执行后消失。 8.倒计时计时器的设计:最长记时时间为999秒,有三位数码管显示记数状态。 9.洗衣机控制电路设计: 设计一个洗衣机控制器,具有如下功能:

数字电路教案汇总

数字电路教案汇总

皖西学院教案2014 - 2015 学年第2学期 课程名称数字电子技术 授课专业班级电气1302-02 授课教师张斌 职称副教授 教学单位机电学院 教研室

学期授课计划说明 课程类别总学分 3.5 总学时56 本学期学时教学周次周学时学时分配 56 14 4 讲授实验上机考查其他56 教学目的要求 在元器件学习的基础上,掌握数字电路的基础和逻辑门电路的基础知识;重点掌握组合逻辑电路和时序逻辑电路的分析和设计方法,尤其是中规模集成的分析和设计方法;掌握D/A和A/D转换以及脉冲波形的产生和整形电路;了解半导体存储器的基本概念和基本知识。 教学重点难点重点掌握逻辑门电路的基础知识,组合逻辑电路和时序逻辑电路的分析和设计方法,尤其是中规模集成的分析和设计方法。此部分内容也是该门课程的教学难点。 选用教材 电子技术基础(数字部分)康华光等(第五版),北京:高等教育出版社

主要参考资料1.清华大学电子学教研组,阎石主编,数字电子技术基础,第四版,北京,高等教育出版社,1998。 2.李士雄,丁康源主编,数字集成电子技术教程,北京:高等教育出版社,1993。 3.曹汉房,陈耀奎编著,数字技术教程,北京:电子工业出版社,1995。4.扬晖,张风言编著,大规模可编程逻辑器件与数字系统设计,北京:北京航空航天大学出版社,1998。 备注 单元教案 知识单元 主题 数字逻辑基础学时 教学内容(摘要)1.1 数字电路与数字信号 1.2 数制 1.3 二进制数的算术运算 1.4 二进制代码 1.5 二值逻辑变量与基本逻辑运算1.6 逻辑函数及其表示方法

基本逻辑门电路1教案

题目:模块六数字电路的基本知识 第二节基本逻辑门 教学目的: 1、掌握与门、或门、非门的逻辑功能及逻辑符号; 2、掌握基本逻辑运算、逻辑函数的表示方法; 3、掌握三种基本的逻辑电路。 重点与难点:重点:基本逻辑关系:“与”关系、“或”关系、“非”关系 难点:基本逻辑门电路的工作原理及其逻辑功能 教学方法: 1、讲授法 2、演示法 组织教学: 1、检查出勤 2、纪律教育 课时安排: 2课时 教学过程(教学步骤、内容等) 模块六数字电路的基本知识 复习回顾: 1、什么叫模拟电路?什么叫数字电路? 2、常用的数制有哪几种?(要会换算) 导入新课: 数字电路为什么又叫逻辑电路?因为数字电路不仅能进行数字运算,而且还能进行逻辑推理运算,所以又叫数字逻辑电路,简称逻辑电路。 定义:所谓逻辑电路是指在该电路中,其输出状态(高、低电平)由一个或多个输入状态(高、低电平)来决定。 数字电路的基本单元是基本逻辑电路,它们反映的是事物的基本逻辑关系。 什么是门? 新课讲解: 基本逻辑门 三种基本逻辑关系 一、“与”逻辑 1、定义:如果决定某事物成立(或发生)的诸原因(或条件)都具备,事件才发生,而只要其中一个条件不具备,事物就不能发生,这种关系称为“与”关系。

2、示例:两个串联的开关控制一盏电灯。 A B 3、“与”逻辑关系真值表 0---开关断开/灯不亮 1---开关闭合/灯亮 4、逻辑规律:有“0”出“0”,全“1”出“1” 5、逻辑符号:二、“或”逻辑 1 、定义:A 、B 等多个条件中,只要具备一个条件,事件就会发生,只有所有条件均不具备的时候,事件才不发生,这种因果关系称为“或”逻辑。 2、示例:两个并联的开关控制一盏电灯。 A 3、“或”逻辑关系真值表 0---开关断开/灯不亮 1---开关闭合/灯亮 4、逻辑规律:有“1”出“1”,全“0”出“0” 5、逻辑符号:三、“非”逻辑 1、定义:决定事件结果的条件只有一个A ,A 存在,事件Y 不发生,A 不存在,事件Y 发生,这种因果关系叫做“非”逻辑。 R

数字电路教学

数字电路教学 1加强实验教学的目的 数字电路是理工科很多专业的一门技术基础课,也是学生以后从事科学技术研究和开发工作的一门重要课程,其目的既要培养学生良好的基本实验素养和基本实验技能,也要为学生在理论与应用之间架起一座桥梁,使它成为培养应用复合型人才的一个重要教学环节。 2数字电路教学现状 (1)数字电路目前在很多学校仍然采用传统的教学方法,教学的重点仍然是传统的基本教学内容。而随着信息时代的到来,教育的重心由以往的注重传授知识向注重培养学生综合素质发生转变。该课程作为一门重要的专业基础课,其教学内容应该适应科学技术的发展以及对人才培养的要求。如今教学改革应该遵循“理论够用,实践为重”的原则,将培养能力的思想作为核心。力求为社会培养基础扎实、具有创新意识和创新能力,理论联系实际、综合素质高的新一代建设人才。 (2)传统的数字电路实验是按课程的开设顺序逐一设置基本实验项目和课程设计实验,也就是主要围绕相关的理论课程来设计的一种实验模式。实验以中小规模电路为主,大规模数字电路实验较少,也很少考虑各实验课内容相互之间

的衔接与综合,以至学生往往缺少大型数字电路实验的训练机会,难以培养出综合电路设计的能力。这样的实验结构已落后于当代学生科学素质、实验技能和创新能力培养的要求。现在新型的实验结构不仅是实验内容的深化、强化,而且还需要体现实验的系统性综合性和创新性。 (3)目前开设的数字电路实验内容,大多是对理论教学的简单验证和基础实验的技能训练,内容老化,手段单一。传统的验证性实验虽然可以加深学生对理论知识的理解,但仍届于获取间接知识的渠道。当学生进行传统的验证性实验时知识结论已先入为主地占据了学生的头脑,当实验数据与理论不相符合时,学生往往不去追求事实的真相,而是违背认识以理论为本,去修正实验数据,重蹈理论第一的覆辙的规律。而高校人才的培养则应使学生通过实验亲身体验直接知识的获取,并从中接受和理解间接知识,真正懂得实践才是获取真知的主要渠道。所以应引导学生从实验中去发现、认识事物运用已学到的知识去进行解释、检验和总结,有的客观规律效地调动学生的学习兴趣和热情。只有进行这样的实验教学才可以培养学生自我获取知识和探索未知新知识的能力,为真正成为有用的人才打下良好的基础。 3数字电路教改的思路 (1)为了突出数字电路实验课的地位和作用,在教学内容的改革中,对学生在有关电子仪器的测试方法及测试技术的

数字电路基础知识

第11章数字电路基础知识 教学重点: 1.掌握与门、或门、非门的逻辑功能及逻辑符号。 2.了解与或非门、同或门、异或门、OC门与三态门等复合门的逻辑功能和逻辑符号。3.掌握基本逻辑运算、逻辑函数的表示方法。 4.掌握逻辑代数的基本公式;熟练应用公式化简逻辑函数。 教学难点: 1.各种逻辑关系的含义。 2.用公式化简逻辑函数。 3.根据函数表达式画出逻辑图。 学时分配: 11.1数字电路概述 11.1.1 数字电路及其特点 电子线路中的电信号有两大类:模拟信号和数字信号。 1.概念 模拟信号:在数值上和时间上都是连续变化的信号。 数字信号:在数值上和时间上不连续变化的信号。 模拟电路:处理模拟信号的电路。 数字电路:处理数字信号的电路。 2.数字电路特点

(1) 电路中工作的半导体管多数工作在开关状态。 (2) 研究对象是电路的输入与输出之间的逻辑关系,分析工具是逻辑代数,表达电路的功能主要用真值表,逻辑函数表达式及波形图等。 11.1.2 数字电路的发展和应用 数字电路的发展:与器件的改进密切相关,集成电路的出现促进了数字电路的发展。 数字电路的应用:范围广泛,国民经济许多部门中都将大量应用数字电路。 11.2 基本逻辑门电路 各种逻辑门电路是组成数字电路的基本单元。 11.2.1 关于逻辑电路的几个规定 一、逻辑状态的表示方法 用数字符号0和1表示相互对立的逻辑状态,称为逻辑0和逻辑1。 表11.2.1 常见的对立逻辑状态示例 二、高、低电平规定 用高电平、低电平来描述电位的高低。 高低电平不是一个固定值,而是一个电平变化范围,如图11.2.1(a)所示。 单位用“V ”表示。 在集成逻辑门电路中规定 —— 标准高电平V SH —— 高电平的下限值; 标准低电平V SL —— 低电平的上限值。 应用时,高电平应大于或等于V SH ;低电平应小于或等于V SL 。 三、正、负逻辑规定 正逻辑:用1表示高电平,用0表示低电平的逻辑体制。 负逻辑:用1表示低电平,用0表示高电平的逻辑体制。 11.2.2 与门电路 基本的逻辑关系:与逻辑、或逻辑和非逻辑。 一、与逻辑 1.与逻辑关系 与逻辑关系如图11.2.2所示。当决定一件事情的几个条件全部具备后,这件事情才能发生,否则不发生。 图11.2.1 正逻辑和负逻辑

数字电路7大基础实验电子教案

实验一门电路逻辑功能及测试 一、实验目的 1.了解实验箱各部分的功能,并熟悉其使用方法。 2.熟悉门电路的外形和引脚以及逻辑功能。 3.学习集成电路的测试方法及示波器使用方法。 二、实验仪器及材料 1.双踪示波器2.器件 74LS00 二输入端四与非门2片 74LS20 四输人端双与非门1片 74LS86 二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1.复习门电路工作原理及相应逻辑表达式. 2.熟悉所用集成电路的引线位置及各引线用途. 3.了解双踪示波器使用方法. 四、实验箱介绍 实验箱由电源、电平显示、信号源、芯片插座、逻辑开关等部分组成。

1、电源部分 输出DC、+5V、+1.25V~+15V直流稳压电源各一路。两路均设有短路报警功能,电源在短路时自动将电源与已经短路的电路断开,当短路故障排除后,按下报警复位开关即可恢复供电。 2、显示部分 电平指示由10组发光二极管组成,用+5V接电平输入时灯亮为正常。用GND(地)接电平无输出显示为正常。数字显示由2位7段LED数码管及二-十进制译码器驱动器组成。分译码输入端和段位显示输入端(高电平有效)。 3、信号源部分 分单脉冲和连续脉冲2部分,单脉冲开关为消抖动脉冲;连续脉冲分为2组,一组为4路固定频率

脉冲,分别为200kHZ、100kHZ、50kHZ、25kHZ;另一组为:1Hz~5kHz连续可调方波。 4、逻辑电平开关 由10组逻辑电平开关组成(S0-S9),逻辑开关用于输出逻辑电平“1”和“0”。接电平指示,并左右拨动开关(H为高电平+5V,L为低电平0V),则红绿灯相应亮灯。用一组(4位)逻辑开关分别接数码显示的译码输入ABCD(8421BCD),拨动开关组合,输入0000~1001,则数码显示为0~9。 5、集成块插座 插座为双列直插或多列直插,集成块引脚数和引脚号须与插座相符,上左下右对角一般为正、负电源(特殊除外),电源负端接GND即可(10个14脚、3个16脚、1个20脚)。

相关文档
最新文档