倒计时定时器电路设计

倒计时定时器电路设计
倒计时定时器电路设计

目录

摘要 (1)

第1章概述 (2)

第2章电路设计方案 (3)

2.1 总体电路设计方案 (3)

2.2 单元功能模块设计 (4)

2.2.1 秒信号发生器 (4)

2.2.260分频器电路设计 (5)

2.2.3 减法计数器 (6)

2.2.4 译码显示电路 (9)

2.2.5 执行电路 (11)

第3章整机电路原理 (13)

第4章仿真 (14)

总结 (16)

致谢 (17)

参考文献 (18)

附录1电路原理图 (19)

附录2仿真图 (20)

摘要

本设计主要由减法计数器、译码显示器、秒信号发生器、分频器、执行路等构成,能实现倒计时、定时和控制执行电路工作的功能。

倒计时计数末了时,继电器动作,控制用电器动作。其中时钟信号是由多谐振荡器产生的1Hz秒脉冲信号,而且秒脉冲信号可以通过分频器产生出1/60Hz的分脉冲信号,然后通过开关选择秒脉冲或分脉冲信号通过开关选择输入电路,对倒计时计数器进行触发,计数器由置数开关输入的预置数开始进行计数,其中数字由译码数码管显示,直到倒计时末了,产生信号使继电器工作,从而通过控制开关控制受控电器的开或关。

所以,此设计相当于构造了一个电器的控制开关,能够灵活定时电器的工作时间,从而使电器的开关更加方便。

关键词计数器;译码器;显示器;分频器

第1章概述

倒计时计数器的用途很广泛。它可以用作定时,控制被定时电器的工作状态,实现定时开或者定时关,最长定时时间为99分钟。他还可以用做倒记时记数,最长记时时间为99秒,而且有二位数码管显示记数状态,可以灵活的对受控电器进行定时开关。

倒计时计时器的核心器件是可预制数减计数器IC3、IC4,其初始数由拨码开关S1、S2设定,其输出状态由BCD码七段译码器IC1、IC2译码后驱动LED数码管显示。门电路D1、D2产生秒信号脉冲,以及经IC5等60分频后得到的分信号脉冲。由开关S4选择后作为时钟脉冲送入减计数器的CP端。

当按下启动按钮S3后,S1、S2设定的预置数进入减计数器,数码管显示出该预置数,然后计数器就在时钟脉冲CP的作用下减计数,数码管做同步显示。当倒计时结束,减计数器显示为00时,输出高电平使VT1、VT2导通,继电器K1吸合,其常开接点K1-1闭合,接通被控电器,被控电器开始工作;其常闭接点K1-2断开,切断被控电器,使此工作结束工作。同时,自带音源讯响器发出提示音。

在这个数字化的时代,倒计时定时器随处可见,在人们的生活和工作中,倒计时定时器的应用也越来越广泛。在医学设备、在交通方面、比赛场合等,特别是在一些数字化、智能化设备上倒计时定时器得到了很好的应用。我相信,倒计时定时器的发展前景会越来越好。

第2章电路设计方案

2.1 总体电路设计方案

用两个可预置数的减计数器组成两位十进制减计数器,通过两个译码器译码和两个LED数码管显示器显示,CMOS电路组成秒信号发生器,另外有控制电路,控制器随着计数器计数的状态发生改变,计时期间,用电器开关断开,当计时完毕时,用电器开关闭合,整机电路方框图如图2-1所示。

图2-1系统结构框图

根据系统总框图,本设计以集成电路芯片为核心。秒信号是由555时基电路组成的多谐振荡器构成的;60分频器可由CC4002芯片、两个与门构成;倒计时的秒分选择可利用一个单刀双掷开关来实现;两位减法计数器利用两个CC14522芯片组成;预置数采用两个开关组来实现;而译码显示电路也可通过两个CC14543七段译码器和两个共阴极数码管构成;执行电路可由二极管、三极管、电阻和继电器组成,执行电路工作时由一个讯响器来发出提示音。

电路工作时,可以先预置倒计时时间并且用数码管显示出来,然后由秒信号发生器产生秒信号,秒信号通过60分频器产生分信号,单刀双掷开关进行分秒信号选择,然后分或秒信号输入减法数器,减法数器开始动作进行倒计时,当计

时结束时减法计数器输出高电平使执行电路工作,同时讯响器发出提示音

2.2 单元功能模块设计

2.2.1 秒信号发生器

秒信号发生器可以由门电路、555时基电路等构成,在此设计中,我选用了555时基电路构成的多谐振荡器作电路的秒信号发生器。

多谐振荡器是一种能产生矩形波的自激振荡器,也称矩形波发生器。“多谐”指矩形波中除了基波成分外,还含有丰富的高次谐波成分。多谐振荡器没有稳态,只有两个暂稳态。在工作时,电路的状态在这两个暂稳态之间自动地交替变换,由此产生矩形波脉冲信号,常用作脉冲信号源及时序电路中的时钟信号。CMOS组成的多谐振荡器在此设计中用于产生秒信号,其原理图如图2-2所示。

图2-2 多谐振荡器

电路的工作原理是:接通电源后,555的3脚(OUT)输出高电平,其值接近于电源电压,7脚(DIS)内的晶体管截止,电源+V CC通过电阻R23、R P1和R24给电容C1充电,电容上的电压逐渐上升,当到达比较上限2/3V CC时,使555的3脚输出变为低电平,其值接近于电源负极,7脚内的晶体管变为饱和,电容C1放电,其电压开始逐渐下降。当2脚(T R)、6脚(TH)的电压下降到比较下限1/3V CC时,使555的3脚重新输出高电平,与此同时7脚内的晶体管截止,电容重新开始由电源+V CC经电阻R23、R P1和R24充电。这样周而复始,在555的3脚上输出矩形振荡波形。

振荡周期T和频率f的计算公式如下:

f =1/T=1/(R23+R P1+ R24)C1×ln2

因此,只要取合适的值,就可以调节振荡频率。

2.2.260分频器电路设计

在设计中需要分脉冲信号,而60分频器主要将输入的秒脉冲信号转换成分脉冲信号,也就是将秒脉冲信号的频率缩小60倍,从而得到频率为1/60的分脉冲信号,提供给电路使其正常工作。分频器主要芯片是CC4024,其管脚排列如图2-3所示:

图2-3 CC4024管脚图

CC4024功能如表1所示:

表1 CD4024功能表

CC4024功能如表1所示,当CR端输入高电平时,输出全部为1(即清0),而CP 端输入脉冲为上升沿时,CC4024输出保持不变,当CP端输入脉冲为下降沿时,CC4024正常工作,开始分频。

由于输入信号为1Hz频率,为了得到1/60Hz的分脉冲信号,且又能满足定时要求的脉冲,可采用CD4024二进制计数器/分频器进行分频。

CD4024是由7个T型触发器组成的串行二进制计数器/分频器。复位端高电平有效,2个输入端,一个是时钟CP,一个是复位清零端,有7个分频输出端Q1-Q7,最大分频为27=128。本设计需要一个60分频电路。

由CC4024构成的60分频电路如图2-4所示:

图2-4 分频器产生分信号原理图

60分频器由IC5、D3等电路组成,从上图的计数状态表现,当第60个秒脉冲信号到达,计数状态为“0111100”时,与门D3输出一高电平使IC5清零。计数状态回复为“000000”,并开始新的一轮计数,D3输出信号为输入信号的1/60,实现了分频。如表2所示:

表2 CC4024分频原理

倒计时定时器的核心是可预置数减法计数器,用于倒计时。可预置数的二位十进

制减计数器由二片CC14522二-十进制1/N计数器组成,其管脚图如图2-5所示:

图2-5 CC14522管脚图

CC14522计数器功能表如表3所示:

表3 CC14522功能表

CC14522功能如表3所示,当CR接高电平CP、EN、LD任意时,不管输入端输入何电平,输出端均输出低电平;当CR接低电平且LD接高电平时,CP、EN任意时,输出端电平和输入端电平相对应;当CR、EN、LD接低电平CP接高电平,或CR和LD接低电平EN接高电平CP任意,或CR和LD接低电平CP接高电平EN 输入时钟下降沿时,该芯片起保持功能;只有在CR、LD、EN均接低电平且CP输入时钟上升沿时,CC14522芯片才具有计数功能。

两位减法计数器原理电路如图2-6所示:

图2-6 两位减法计数器(CC14522)原理图

两位减法计数器工作方式:

当按下启动按钮S3时,高电平加至IC3和IC4的PE端,使设定的预置数进入计数器中,然后计数器就在时钟脉冲下进行减计数。当个位计数器IC4减到“0000”,再输入一个时钟脉冲,就跳变到其最高位“1001”,其中Q4端输入“1”脉冲(可理解为借位信号),使十位计数器IC4减1,当十位,个位都为零时,IC4的OC 端输出为1,使个位的EN端为1,计数停止。个位计数器的OC 端为两位减法计数器的输出端。

该计数器的个位,十位分别是IC4,IC3电路采用正逻辑,即“1”为高电平(VDD),“0”为低电平(VSS)。CC14522型计数器的CP端和EN端均为输入脉冲端。电路规定:当EN端接“0”电平时,输入脉冲应加至CP端,且用脉冲的上升沿触发;当CP 接“1”电平时,输入脉冲应加至EN端,此时用脉冲的下降沿触发。选定从CP端输入脉冲,所以EN端固定接地为“0”电平,信号发生器或分频器输出的信号作为输入脉冲加到IC4的CP端。每块14522的D1—D4端是预置数输入端,PE是预置允许端,高电平有效。CC14522的Cr端是复位清零端.当Cr=1时,能使计数器强制清零,计数电路停止工作。图中的Cr端固定接“0”电平,可使电路循环工作下去。计数器的Oc端是全“0”信号输出端,仅当计数器状态为“0000”,且CF为“1”时,Oc 才输出“1”。CF接高电平,直接与电源相连。由于CF=1,并且计到"0000"状态,因此从Oc端输出高电平信号,这个高电平信号,一方面作为第二次置数信号(PE=1),另一方面IC3、IC4的OC端通过一个与门相连作为两个减法计数器的输出端,还可

以使EN端强制归零,计数器停止工作。计数器完成预置后,从个位计数器开始做减法运算。多谐振荡器的输出信号是一串脉冲,CP端每输入一个脉冲,IC4就自动(这是由集成电路内部控制的)减1,当IC4减到“0000”时,Q3端输出一个高电平传到IC3的CP端,使IC3自动减1,从而实现借位功能。

2.2.4 译码显示电路

CC14543是一片 CMOS BCD—锁存/7 段译码/驱动器,用于驱动共阴极 LED (数码管)显示器的 BCD 码—七段码译码器。具有BCD转换、消隐和锁存控制、七段译码及驱动功能,能提供较大的电流,直接驱动LED显示器。

CC14543管脚图如2-7所示:

图2-7 CC14543管脚图

如图 2-7 所示:其中A、B、C、D为 BCD 码输入端,D为最低位。DFI为灯测试端,加低电平时,显示器正常显示,加高电平时,显示器一直显示数码“8”,各笔段都被点亮,以检查显示器是否有故障。BI为消隐功能端,高电平时使所有笔段均消隐,正常显示时, B1端应加低电平。另外 CC14543有拒绝伪码的特点,当输入数据越过十进制数9(1001)时,显示字形也自行消隐。LE是锁存控制端,高电平时锁存,低电平时传输数据。a~g是 7 段输出,可驱动共阴LED数码管。另外,CC14543显示数“6”时,a段消隐;显示数“9”时,d段消隐,所以显示6、9这两个数时,字形不太美观。所谓共阴 LED 数码管是指 7 段 LED 的阴极是连在一起的,在应用中应接地。

表3 CC14543真值表

CC14543的锁存功能

译码器的锁存电路由传输门和反相器组成,工作时由传输门的导通或截止控制LE端的电平状态。当LE为“0”电平导通,TG2截止;当LE为“1”电平时,TG1截止,TG2导通,此时有锁存作用。

(1)译码

CC14543译码用两级或非门担任,为了简化线路,先用二输入端与非门对输入数据B、C进行组合,然后将输入的数据A、D一起用或非门译码。

(2)消隐

BI为消隐功能端,该端施加某一电平后,迫使B端输出为低电平,字形消隐。

用CC14522芯片构成的译码显示电路如图2-8所示:

图2-8 译码显示电路

两位减计数器的输出状态由译码显示电路显示,便于人们直接观察。译码器电路采用两片BCD七段锁存译码集成电路CC14543组成,将减计数器中IC3,IC4输出端的BCD码(二-十进制8421码)接入CC14543的A、B、C、D输入端译码后,驱动七段LED数码管显示。由于采用共阴极数码管,所以IC1,IC2的DFI端接地。R1-R14为数码管限流电阻。CC14543具有锁存、译码、消隐功能,通常以反相器作输出级,用以驱动LED。

2.2.5 执行电路

执行电路当倒计时结束,减计数器显示为00时,输出高电平使VT1、VT2导通,继电器K1吸合,其常开接点K1-1闭合,接通被控电器,使电器开始工作;其常闭接点K1-2断开,切断被控电器,是电器结束工作。同时;自带音源讯响器HA发出提示音。

综上所述执行电路可由两部分组成:一部分是控制电路,一部分是报警电路。

控制电路工作电路如图2-9所示:

图2-9 控制电路原理图报警电路如图2-10所示:

图2-10 报警电路原理图

第3章整机电路原理

根据对单元电路的设计,绘制出整机电路图如附录1所示,电路工作原理如下:将单刀双掷开关S3置于高电平,IC3、IC4的允许置数端PE接高平,此时开始预置数(预置数通过译码显示后直接在数码管上显示)。把S3置于低电平,电路开始工作。由555时基电路产生的秒脉冲信号或由分频器分频后产生的分脉冲信号通过开关S4的选择使秒脉冲或分脉冲进入两位减法计数器的CP端。当第一个上升沿到来时,两位减法计数器开始工作,IC4首先做减法运算,并通过译码后在数码管上做同步显示。观察数码管,当个位减法计数器IC4减到“0000”时,IC4输出端的高位Q4输出高电平并且IC4的输出端跳变到其最高位“1001”,此时Q4产生的上升沿输入到十位计数器IC3的CP端使IC3自动减1,从而实现了两位计数器的功能。当个位计数器和十位计数器IC4、IC3都减到“0000”时,IC3和IC4的OC端均输出高电平,这两个输出端通过一个与门输出一个高电平,一方面这个高电平输入IC4的EN端使IC4停止计数,由于没有IC4的借位信号输入,IC3也停止计数,至此两位计数器工作停止;另一方面高电平输入执行电路,三极管VT1和VT2导通,执行电路开始工作。VT2导通时继电器的常闭触点断开常开触点闭合,控制被控电器的开关;与此同时VT1导通,讯响器发出提示音,倒计时控制结束。

第4章仿真

在仿真软件Multisim10.0中,按附录1中的原理图接线。在仿真过程中,为了便于观察我加入了一个单刀双掷开关把两位减法计数器由同步变为异步使仿真时间大大缩短。秒脉冲如图5-1所示:

图5-1秒脉冲信号

本设计控制电器采用执行电路来实现,执行电路仿真如图5-2所示:

图5-2 执行电路仿真

减法计数器要求能预置数,预置数仿真电路如图5-3所示:

图5-3 预置数仿真电路

总结

随着期末的到来,课程设计也接近了尾声,经过两周的奋战我的课程设计终于完成了。在没有做课程设计以前觉得课程设计只是对我所学知识的单纯总结,但是通过这次做课程设计发现自己的看法有点太片面。课程设计不仅是对前面所学知识的一种检验,而且也是对自己能力的一种提高。通过这次课程设计使我明白了自己原来知识还比较欠缺。自己要学习的东西还太多,以前老是觉得自己什么东西都会,什么东西都懂,有点眼高手低。通过这次课程设计,我才明白学习是一个长期积累的过程,在以后的工作、生活中都应该不断的学习,努力提高自己知识和综合素质。

此次课程设计使我重新又学习了数字电子技术的有关知识,电子电路CAD,Wo rd等常用办公软件掌握的更加熟练,以及了解了更多的常用芯片的工作原理与工作方式。

在这次课程设计中也使我们的同学关系更进一步了,同学之间互相帮助,有什么不懂的大家在一起商量,听听不同的看法对我们更好的理解知识,所以在这里非常感谢帮助我的同学。

我的心得也就这么多了,总之,不管学会的还是学不会的的确觉得困难比较多,真是万事开头难,不知道如何入手。最后终于做完了有种如释重负的感觉。此外,还得出一个结论:知识必须通过应用才能实现其价值!有些东西以为学会了,但真正到用的时候才发现是两回事,所以我认为只有到真正会用的时候才是真的学会了。

在设计过程中,我通过查阅大量有关资料,与同学交流经验和自学,并向老师请教等方式,使自己学到了不少知识,也经历了不少艰辛,但收获同样巨大。在整个设计中我懂得了许多东西,也培养了我独立工作的能力,树立了对自己工作能力的信心,相信会对今后的学习工作生活有非常重要的影响。而且大大提高了动手的能力,使我充分体会到了在创造过程中探索的艰难和成功时的喜悦。虽然这个设计做的也不太好,但是在设计过程中所学到的东西是这次课程设计的最大收获和财富,使我终身受益。

致谢

在毕业设计接近尾声之时,我要衷心地感谢我的指导老师王萍老师,在我整个毕业设计过程中,弥老师给了我很大的帮助和耐心的指导,在遇到问题时,弥老师总是细心给我解答。弥老师的言传身教,让我们学会了以前在课堂上没有的东西。同时还要感谢在我的整个毕业设计中所有帮助过我的老师,感谢曾经教育过我的老师,是你们传授了我最基础的知识使我在此时得以综合利用,你们对我的帮助与教诲,我没齿难忘。“不积跬步无以至千里”。正是因为有各位老师的帮助和指导才使我的毕业设计能够顺利的完成。再次对你们表示衷心的感谢!祝愿你们天天开心,全家幸福!

参考文献

[1] 陈有卿叶桂娟.555时基电路原理设计与应用.北京:电子工业出版社,2007年9月

[2] 张树江王成安.模拟电子技术.大连:大连理工大学出版社,2005年7月

[3] 邱寄帆唐成山.数字电子技术.北京:人民邮电出版社,2005年9月

[4] 余宏生吴建设.电子CAD技能实训.北京:人民邮电出版社,2006年1月

[5] 余孟尝数字电子技术基础简明教程.北京:高等教育出版社,2004年3月

[6] 许小军电子技术实验与课程设计指导数字电路分册.南京:东南大学出版社,2001年7月

附录1电路原理图

(完整版)电子倒计时定时器设计毕业设计论文

江苏信息职业技术学院 毕业设计(论文) 题目:电子倒计时定时器设计 摘要 随着时代的进步,电子技术的发展,倒计时定时器得到了越来越广泛的应用,给人们日常的生活、学习、工作、娱乐带来便利,电子定时器相比普通的定时器来事具有体积小、重量轻、造价低、精度高等特点。 本设计主要采用51系列单片机,通过硬件电路设计和软件编程设计来实现,硬件主要包括主控模块,时间显示模块,键盘设置模块,报警器模块的设计,软件编程主要采用C语言,虽然程序条数比较多,但是设计起来比较方便,可通过Keils软件进行调试。

此次倒计时定时器采用单片机AT89S51为核心,利用时钟芯片DS1302来显示一天的时间,系统通电后利用数码管自动显示当前时间,通过键盘可以调整时间,分别对时、分、秒进行加减,也可以通过键盘转换成倒计时模式,最大倒计时时间为59分59秒,而且误差很小,当倒计时为零时蜂鸣器进行报警,指示灯变亮,倒计时功能关闭则显示当前时间,操作简单方便。 关键词:时钟芯片;AT89S51;倒计时;DS1302

目录 摘要 (1) 第1章绪论 (5) 1.1课题的学术背景及其实际意义 (5) 1.2相关领域的成果及存在的不足 (5) 1.3课题来源及主要研究内容 (5) 第2章倒计时定时器的结构、原理及设计方案 (7) 2.1 单片机的发展概况 (7) 2.2 51单片机的内部结构 (7) 2.3 设计要求、方案及框图 (9) 2.1.1设计要求 (9) 2.1.2设计方案 (9) 2.1.3设计框图 (10) 第3章硬件电路设计 (11) 3.1ATS89C51单片机介绍 (11) 3.2时钟模块 (13) 3.2.1DS1302简介 (13) 3.2.2 (14) 3.2.3时钟电路设计 (15) 3.2.4时钟复位电路 (15) 3.3键盘模块 (16) 3.4显示模块 (17)

倒计时数字电路

合肥学院 ED综合设计报告 题目:倒计时数字电子电路 班级:11级电子信息工程(2)班组员:潘凌林、夏雪、籍家兴 指导老师:高先和、姚红

一、实验目的 1、掌握任意时间倒计时器工作原理; 2、学会对原理图进行合理的布线; 3、锻炼对电路板进行焊接的能力; 4、加深对74LS161、08、192等芯片工作原理的了解。 二、实验要求 (1)设计倒计时器的电路图; (2)选择合适的芯片; (3)倒计时器具有预置数功能。 三、实验设备 74LS192*3, 74LS08*2, 74LS03, 74LS04,74LS48*2,555,电阻10KR和100R,万能板,5V稳压电源,按键开关,相关焊接工具。 四、实验原理 1.设计思路 我们选用的器材有3个74LS192,它是加、减十进制计数器,选用了555多谐振荡器,它能产生一个1hz的方波信号来作为秒脉冲,作为它的cp脉冲。又因为我们要选用2片计数器

构成2位计数的状态,固要采用计数器的级联的方法,我们选用的是并行进位的级联,因为这个大大的加快了运行的速度。因为每个片子需要一个5V的直流电源来驱动,故我们还需要用一个7V的变压器,整流桥与一个三端稳压器来设计一个电源。因为我们要的是以秒为单位的计数器,所以我们需要的是1hz的cp信号,这个可以由555多谐振荡器来完成。555多谐振荡器的功能就是你可以调节它的电阻和电容来得到不同频率和不同占空比的脉冲信号。然后还需要两个74LS48译码器与两个共阴数码管来对计数器所记得数进行翻译和显示。当倒计时显示进行到03,02,01这三个数字得时候就报警,以蜂鸣器响声来表示;这个可以用一个四线与门来实现,四线与门的四个输入分别为十位计数器的进位信号,多谐振荡器的输出端,个位的高两位经过或非输出端与低两位经过或门输出端。然后四线与门的后面接蜂鸣器就可以完成报警功能。系统还能开机复位,包括计数器清零。 2.原理图

倒计时定时器设计

一、倒计时定时器设计 1、20秒、30分钟到计时计数器 1、1 设计要求: 20s倒计时定时器:倒计时由按钮启动,计时精度0.1s,在数码管中显示倒计时值。 30分钟倒计时定时器:倒计时由按钮启动,计时精度1s,在数码管中显示倒计时值。 1、2设计的作用目的: 此次设计是我们更进一步了解基本电路的设计流程,提高自己的设计理念,丰富自己的理论知识,巩固所学知识,使自己的动手动脑能力有更进一步提高,为自己今后的学习和工作打好基础,为自己的专业技能打好基础。通过解决实际问题,巩固和加深“单片机原理与应用”课程中所学的理论知识和实验能力,基本掌握单片机应用电路的一般设计方法,提高电子电路的设计和实验能力,加深对单片机软硬知识的理解,获得初步的应用经验,为以后从事生产和科研工作打下一定的基础。本次设计注重对单片机工作原理以及键盘控制及显示原理的理解,以便今后自己在单片机领域的学习和开发打下基础,提高自己的动手能力和设计能力,培养创新能力,丰富自己的理论知识,做到理论和实践相结合。本次设计的重要意义还在于对单片机的内部结构和工作状态做更进一步的了解,同时还对单片机的接口技术,中断技术,存储方式和控制方式作更深层次的了解。 1、3问题分析: 在电子技术飞速发展的今天,电子产品的人性化和智能化已经非常成熟,其发展前景仍然不可估量。如今的人们需求的是一种能给自己带来方便的电子产品,当然最好是人性化和智能化的,如何能做到智能化呢?单片机的引入就是一个很好的例子。单片机又称单片微型计算机,也称为微控制器,是微型计算机的一个重要分支,单片机是20世纪70年代中期发展起来的一种大规模集成电路芯片,是集CPU,RAM,ROM,I/O接口和中断系统于同一硅片上的器件。单片机的诞生标志着计算机正式形成了通过计算机系统和嵌入式计算机系统两个分支。目

单片机倒计时定时器

单片机倒计时定时器 2011-11-1 这里我们先要安装好51试验板上的两个轻触按钮开关,我们采用的是独立式按钮开关,也就是说将开关直接连接到电源的地和单片机的对应引脚之间,这里K1接到单片机的P3.6引脚,K2接到P3.7正常情况下单片机的 P3.6P3.7都被程序初始化时置1 当有按键按下时对应的单片机引脚被按钮开关下拉为0,这种方法比较直观,而且比较简单,在按键数量不多的场合下使用很广泛 因为机械开关开关时有抖动,所以需要在程序中加一个软件去抖动程序,它的工作原理如下:当单片机检测到有按键被按下后立即执行一个10毫秒的延时程序,然后再在检测该引脚是否仍然为闭合状态?如果仍然为闭合说明确认该键被按下立即执行相应的处理程序,否则可能是干扰,丢弃这次检测结果 接下来我们再安装一个四位的拨码开关,就是图中红色的开关,它相当于四个装在一起的拨动开关,当开关拨到"ON"一侧时,对应的那路就会接通,反之断开.它在单片机中一般用于设置初始参数,而且不经常改变的场合这里因为单片机引脚资源不够,所以我们只使用了拨码开关的第234位,第1位闲置三个开关可以逻辑组合出8种状态,所以我们能够方便灵活地预置多达7种的倒计时时间 最后我们来安装两个继电器和相关电路,有了继电器我们的实验板不再仅仅是做做实验而已,可以用于控制一些负载,比如说:充电器,洗衣机,电风扇等,使我们的实验板的实用功能大大增强,这也是电子制作实验室网站的单片机实验板和其他公司的产品不同的地方

这里继电器由相应的S8050三极管来驱动,开机时,单片机初始化后的P2.3/P2.4为高电平,+5伏电源通过电阻使三极管导通,所以开机后继电器始终处于吸合状态,如果我们在程序中给单片机一条:CLR P2.3或者CLR P2.4的指令的话,相应三极管的基极就会被拉低到零伏左右,使相应的三极管截至,继电器就会断电释放,每个继电器都有一个常开转常闭的接点,便于在其他电路中使用,继电器线圈两端反相并联的二极管是起到吸收反向电动势的功能,保护相应的驱动三极管,这种继电器驱动方式硬件结构比较简单

基于555定时器闪光电路设计及制作

基于555定时器闪光电路设计与制作 我们主张,电子初学者要采用万能板焊接电子制作作品,因为这种电子制作方法,不仅能培养电子爱好者的焊接技术,还能提高他们识别电路图和分析原理图的能力,为日后维修、设计电子产品打下坚实的基础。 本文介绍555定时器的结构、引脚功能以及构成单稳态触发器、多谐振荡器、施密特触发器等电路,进一步掌握集成电路的使用方法,并利用多谐振荡器产生的脉冲信号控制二个发光二极管实现闪光电路。 一、基于555定时器闪光电路功能介绍 每辆车上电子装置在整个汽车制造成本中所占的比例由16%增至23%以上,目前电子技术的应用几乎已经深入到汽车所有的系统。汽车上的左、右闪光灯就是最普通的电子产品,今天我们就来学习如何使用555定时器设计闪光电路。 本制作套件就是利用555定时器设计的多谐振荡器,进而构成闪光电路,如图1所示。 图1 基于555定时器闪光电路成品图

二、基于555定时器闪光电路原理图 图2 基于555定时器闪光电路原理图 三、基于555定时器闪光电路工作原理 1、可调电阻的特性及用法 可调电阻也叫可变电阻,是电阻的一类,其电阻值的大小可以人为调节,以满足电路的需要。可以逐渐地改变和它串联的用电器中的电流,也可以逐渐地改变和它串联的用电器的电压,还可以起到保护用电器的作用。

图3 可调电阻100K可调范围 电位器是可调电阻的一种,通常是由电阻体与转动或滑动系统组成,即靠一个动触点在电阻体上移动,获得部分电压输出。 电位器的电阻体有两个固定端,通过手动调节转轴或滑柄,改变动触点在电阻体上的位置,则改变了动触点与任一个固定端之间的电阻值,从而改变了电压与电流的大小。

任意时间倒计时计数器的设计

任意时间倒计时计数器的设计 摘要:本设计实现任意秒数倒计时的功能,首先利用555定时器连接电阻和电容改装成多谐振荡器,将输入脉冲经电路转换输出矩形波,并改变电容和电阻的参数使输出周期为1秒。计数部分用74LS192芯片来实现,74LS192芯片是8421码计时的,符合任意几十秒读数的需要。译码部分采用74LS48芯片,74LS48是把8421BCD码经过内部作用和电路“翻译”成七段输出,然后直接推动LED,显示十进制数。此设计功能完善,可以直接清零,启动和暂停/连续计时, 进而实现断点计时,同时还应用了七段数码管来显示时间。当计数器显示的数字递减到零的时候,会发出光电报警信号。其设计由计时模块、控制模块、以及译码显示模块3个部分组成。 关键词:计时器;报警;芯片模块化

The Design of Any Time Countdown Counter Abstract:The design implements the function of 30 seconds countdown , At first ,use a 555 timer concussion connected with resistor and capacitor converted into a multivibrator, which can inverter the input pulse into a circuit output square wave,and change the parameters of capacitance and resistance so that the output cycle is a second. The counting part is realized by 74ls192 chip,and 192 chip is timed at 8421 yards , which can meet the need of 30 seconds reading. Decoding part adopts 74ls48 chip, 74ls48 is at the 8421 BCD by internal function and circuit "translate" into seven period of output, then directly promote LED, and shows a decimal number.The function of this design is perfect, it can directly reset, start and stop/continuous time, then realize breakpoint time, at the same time it also uses seven digital tube to display time. When the counter of the digital display diminishing to zero, it will have a photoelectric alarm signal. It is designed by hourly module, control module, and decode display module 3 parts. Keywords: The timer photoelectric; Alarm; Modular

倒计时定时器电路设计

目录 摘要 (1) 第1章概述 (2) 第2章电路设计方案 (3) 2.1 总体电路设计方案 (3) 2.2 单元功能模块设计 (4) 2.2.1 秒信号发生器 (4) 2.2.260分频器电路设计 (5) 2.2.3 减法计数器 (6) 2.2.4 译码显示电路 (9) 2.2.5 执行电路 (11) 第3章整机电路原理 (13) 第4章仿真 (14) 总结 (16) 致谢 (17) 参考文献 (18) 附录1电路原理图 (19) 附录2仿真图 (20)

摘要 本设计主要由减法计数器、译码显示器、秒信号发生器、分频器、执行路等构成,能实现倒计时、定时和控制执行电路工作的功能。 倒计时计数末了时,继电器动作,控制用电器动作。其中时钟信号是由多谐振荡器产生的1Hz秒脉冲信号,而且秒脉冲信号可以通过分频器产生出1/60Hz的分脉冲信号,然后通过开关选择秒脉冲或分脉冲信号通过开关选择输入电路,对倒计时计数器进行触发,计数器由置数开关输入的预置数开始进行计数,其中数字由译码数码管显示,直到倒计时末了,产生信号使继电器工作,从而通过控制开关控制受控电器的开或关。 所以,此设计相当于构造了一个电器的控制开关,能够灵活定时电器的工作时间,从而使电器的开关更加方便。 关键词计数器;译码器;显示器;分频器

第1章概述 倒计时计数器的用途很广泛。它可以用作定时,控制被定时电器的工作状态,实现定时开或者定时关,最长定时时间为99分钟。他还可以用做倒记时记数,最长记时时间为99秒,而且有二位数码管显示记数状态,可以灵活的对受控电器进行定时开关。 倒计时计时器的核心器件是可预制数减计数器IC3、IC4,其初始数由拨码开关S1、S2设定,其输出状态由BCD码七段译码器IC1、IC2译码后驱动LED数码管显示。门电路D1、D2产生秒信号脉冲,以及经IC5等60分频后得到的分信号脉冲。由开关S4选择后作为时钟脉冲送入减计数器的CP端。 当按下启动按钮S3后,S1、S2设定的预置数进入减计数器,数码管显示出该预置数,然后计数器就在时钟脉冲CP的作用下减计数,数码管做同步显示。当倒计时结束,减计数器显示为00时,输出高电平使VT1、VT2导通,继电器K1吸合,其常开接点K1-1闭合,接通被控电器,被控电器开始工作;其常闭接点K1-2断开,切断被控电器,使此工作结束工作。同时,自带音源讯响器发出提示音。 在这个数字化的时代,倒计时定时器随处可见,在人们的生活和工作中,倒计时定时器的应用也越来越广泛。在医学设备、在交通方面、比赛场合等,特别是在一些数字化、智能化设备上倒计时定时器得到了很好的应用。我相信,倒计时定时器的发展前景会越来越好。

数电课程设计-30秒倒计时器

. 定时电路的设计 ——数字逻辑课程设计 学院:计算机学院 专业班级:计科13

时间:2015年1月4日 目录 设计要求 (3) 正文 一、倒计时器组成及原理 (3) 1.1倒计时计数器组成 (3) 1.2工作原理 (3) 二、拟定设计方案 (4) 2.1用Multisim进行仿真设计 (4) 2.2设计实现数码管显示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5) 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 三、功能说明总结 (9) 四、课程设计小结 (9) 参考文献 (10) 附录: 一、电路原理图 (11) 二、元器件明细表 (11)

设计要求: 设计30秒倒计时计数器。 30秒倒计时器的设计功能要求包括: 1.具有30S减计时功能,计时时间到后发出声光报警信号(点亮LED,喇叭鸣叫); 2.计时时间精确(用石英振荡器产生秒信号); 3.用数码管显示剩余时间; 4.具有复位、启动、暂停、继续等操作按钮; 正文: 一、倒计时器组成及原理 1.1倒计时计数器组成 倒计时计数器选用TTL集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下: 图1 1.2工作原理 当电路工作时,由555定时器组成多谐振荡器,选取适当的电容使振荡周期为1s;用两片减法计数器芯片级联组成二位数计数器,用七段数码管显示计数;控制电路通过控制减

定时器电路

时分秒可校的定时器电路 设计报告 摘要 本设计的目的是设计一时分秒可校的定时器电路,该电路由数据预置部分对核心部分定时器模块进行时间预置,输出接至显示模块并

通过LED数码管显示时分秒信息,定时时间到通过声光报警模块进行报警。设计采用可编程芯片和VHDL语言进行软硬件设计,不但可使硬件大为简化,而且稳定性也有明显提高。本设计采用逐位设定预置时间,其最长时间设定可长达24小时59分59秒,并由六个共阴数码管进行时分秒的显示,定时时间到喇叭发出声响,同时两个LED灯亮。关键字: VHDL语言定时器显示报警 目录 一、系统设计 (4) 二、单元电路设计

(4) 三、软件设计 (6) 四、系统测试 (7) 五、结论 (8) 六、参考文献 (9) 七、附录 (9) 一、系统设计 1、设计要求 时分秒可校的定时器,定时范围为10秒—24时59分59秒,精度为1秒,能同时显示时分秒信息(LED数码管),定时时间到能发出声

光警告信号。 2、系统设计方案 总体框图如图所示: 图中定时模块由2个59进制、1个24进制的减计数器连接,实现定时器递减到零的倒计时功能;输出由七段数码显示译码器驱动数码管显示;报警模块由输出系列检测实现喇叭和LED 灯的时间报警;时间预置由六个输入端口分别对时分秒进行预置。 二、单元电路设计 1、倒计时部分(以秒为例):该部分是整个电路的核心,clk 为时钟信号,当时钟上升沿到来,倒计时开始,cn 为使能端,高电平有效,res 为复位端,用来清零,采用异步复位方式,s1、s2端为别为十位、个位数据预置端;count 为数据溢出端,高电平有效,dlow 、high 为四位BCD 码输出端口,用于显示及报警。 当cn 有效时,clk 脉冲上升沿到来时,开始倒计时,每60秒为一个周期,溢出端count 输出一信号使分计数减1,直到计时完成。

数显声响倒计时电路

任务书 数显、声响倒计时电路设计 一、任务及要求 设计并制作一个数显声响式倒计时电路。要求如下: 1.电路具有10—99秒可预置定时功能。 2.有两个数码管显示计时时间,用一只LED指示计时开始与结束。按预置(开始)按钮,数码管显示定时时间,LED灯不亮;再按预置(开始)按钮,LED亮,倒 计时开始。 3.倒计时结束时,计数器停止计数,LED灯不亮。 4.电路具有开机预置数功能。 5.电路具有最后三秒报时功能,要求响半秒停半秒,共三次。用压控陶瓷蜂鸣器作为电声元件。 6.自制本电路所用得直流电源和一秒信号源。 二、参考资料 《数字电子技术实验任务书》实验四及实验六 《电子技术基础》课程设计资料

目录 前言................................................... 错误!未定义书签。 1、整体设计................................................ 错误!未定义书签。 1.1整体设计思路............................................ 错误!未定义书签。 1.2整体设计................................................ 错误!未定义书签。 方案一 (5) 方案二:................................................. 错误!未定义书签。 2、单元电路设计和基本原理 (6) 2.1电源设计 (6) 2.2信号源 (7) 2.3 开机复位电路 (8) 2.4 减法器计数器 (9) 2.5 显示电路 (11) 2.6 报警电路 (13) 2.7 LED灯电路 (13) 3、系统安装与调试 (15) 3.1.步骤方法............................................. 错误!未定义书签。 3.2故障及处理 (15) 3.2.1电源仿真的制作及调试........................... 错误!未定义书签。 3.2.2 1秒信号源仿真的连接于调试..................... 错误!未定义书签。 3.2.3 译码显示仿真的连接和调试....................... 错误!未定义书签。 3.2.4 计数器仿真的连接和调试......................... 错误!未定义书签。 3.2.5 报警电路仿真的连接和调试 (16) 3.2.6 LED灯仿真的连接和调试......................... 错误!未定义书签。 3.2.7 总体电路的测试................................. 错误!未定义书签。 3.3小结 (16) 4、总结与体会.............................................. 错误!未定义书签。 附录1 总体电路图 (19) 附录2 元器件清单 (20) 附录3 集成电路引脚图 (21) 附录4 参考文献 (22)

数显倒计时电路设计分析

学号 电子线路综合设计 设计说明书 数显倒计时电路设计 起止日期:2014 年6 月29 日至2014 年7 月10 日 学生姓名 班级13信科1 成绩 指导教师(签字) 计算机与信息工程学院 2014 年7 月10 日

课程设计任务书 2014 —2015学年第二学期 计算机与信息工程学院电子信息与科学专业 13信科1班级 课程设计名称:电子线路综合设计 设计题目:数显倒计时电路设计 完成期限:自 2015 年 6 月 29 日至 2015 年 7 月 10 日共 2 周 设计依据、要求及主要内容(可另加附页): 一、课程设计依据 数码显示管、555定时电路、计数器的逻辑功能 二、课程设计内容 设计并制作一个数显倒计时电路。要求如下: 1、电路具有10~99秒可预置定时功能。 2、有两个数码管显示计时时间,用一只LED指示计时开始与结束。按预置/开始按钮,数码管显示定时时间,LED不亮;再按预置/开始按钮,LED亮,倒计时开始。 3、倒计时结束时,计数器停止计数,LED不亮。 4、电路具有开机预置数功能。 5、自制本电路所用的直流电源和一秒信号源。 三.课程设计要求 1.要求独立完成设计任务。 2.课程设计的说明书要求简洁、通顺,计算正确,图纸表达内容完整、清楚、规范。 3.测试要求:根据题目的特点,设计电路并仿真,最后焊接电路并进行调试。 4.课设说明书要求: 1)说明题目的设计电路图、仿真结果和调试过程。 2)详细介绍运用的理论知识和电路图设计过程。 3)绘制电路图并对硬件调试过程进行详细的分析。 指导教师(签字): 教研室主任(签字): 批准日期:2015 年 6 月 25 日

基于74LS192的30秒倒计时设计_____哈工大电工实验

姓名#### 班级######## 学号####### 实验日期2015.5.26 节次5—6 教师签字成绩 基于74LS192的30秒倒计时设计 1.实验目的 1)掌握555定时器的功能; 2)了解74LS192减法计数器的功能; 3)掌握RS触发器在消除开关抖动中的应用; 4)掌握调试电路的方法; 5)掌握电路设计的基本思想和流程。 2.总体设计方案 1)30进制计数器的设计 本实验采用74LS192芯片作为计数器,74LS192是同步的加减计数器,其具有清除和置数的功能。本实验选择两片74LS192作为分别作为30的十位和个位。本实验中将作为十位的计数器输入端置为0011而将个位的输入端置为0000。将两片74LS192的置数端连出来与开关B相连,开关B控制置数端与高电平还是低电平,从而实现当30倒计时到00时,通过手动操作开关B而可以重新开始倒计时,计数器的电路连接如下图所示: 图1:计数器电路设计 2)T=1s的时间脉冲的设计 本实验采用由555定时器组成的多谐振荡器来产生周期为1s的时间脉冲,从而为30秒倒计时提供了脉冲输入。这里取R1=51kΩ,R2=47 kΩ,C=10μF。 由于震荡周期T≈0.7(R1+2R2)C=0.7×(51kΩ+2×47 kΩ)×10μF=1.015s,显然这样的设计是符合实验要求的。

图2:555定时器组成的多谐振荡器 3)RS触发器控制电路设计 将RS触发器应用到开关电路中能很好的对30秒倒计时进行控制。当B开关打到右侧时,无论A开关打到哪侧,倒计时均未开始;当B开关打到左侧时,A开关打到右侧开始倒计时,A开关打到左侧暂停倒计时。 图3:RS开关控制电路 3.实验电路图 运用Multisim13绘制的实验电路图如下所示:

FPGA定时器24s倒计时.doc

设计一个用于篮球比赛的定时器。要求: (1)定时时间为 24 秒,按递减方式计时,每隔 1 秒,定时器减1; (2)定时器的时间用两位数码管显示; (3)设置两个外部控制开关,开关 K1 控制定时器的直接复位 / 启动计时,开关 K2 控制定时器的暂停 / 连续计时;当定时器递减计时到零(即定时时间到)时,定时器保持零不变,同 时发出报警信号,报警信号用一个发光二极管指示。 (4)输入时钟脉冲的频率为 1kHz。 (5)用 Verilog HDL语言设计,用Modelsim 软件做功能仿真,用Quartus II 综合。(6)将设计代码和仿真代码写在作业本上。 module gcount(out,sel,clock_1k,clear,pause,gcon); input clock_1k,clear,pause; output [6:0] out; output sel,gcon; reg [6:0] out; reg sel,gcon; reg [3:0] cnt_sl,cnt_sh,count; reg wire [9:0] fenpin; clock_1 = fenpin[9]; // 1Hz; always @(posedge clock_1k or negedge clear) begin if (!clear) fenpin <= 10'b0; else fenpin <= fenpin + 1; end //cnt_sl always@(posedge clock_1 or negedge clear) //always@(posedge clock_1 or negedge clear or posedge pause) begin if(!clear) cnt_sl<=4'h4; else if (!pause) cnt_sl<=cnt_sl; else if (cnt_sh == 0 && cnt_sl == 0) cnt_sl<=4'h0; else if (cnt_sl == 0) cnt_sl<=4'h9; else cnt_sl<=cnt_sl-1; end //cnt_sh always@(posedge clock_1 or negedge clear)

555定时器的典型应用电路

555定时器的典型应用电路 单稳态触发器 555定时器构成单稳态触发器如图22-2-1所示,该电路的触发信号在2脚输入,R和C是外接定时电路。单稳态电路的工作波形如图22-2-2所示。 在未加入触发信号时,因u i=H,所以u o=L。当加入触发信号时,u i=L,所以u o=H,7脚内部的放电管关断,电源经电阻R向电容C充电,u C按指数规律上升。当u C上升到2V CC/3时,相当输入是高电平,5 55定时器的输出u o=L。同时7脚内部的放电管饱和导通是时,电阻很小,电容C经放电管迅速放电。从加入触发信号开始,到电容上的电压充到2V CC/3为止,单稳态触发器完成了一个工作周期。输出脉冲高电平的宽度称为暂稳态时间,用t W表示。 图22-2-1 单稳态触发器电路图 图22-2-2 单稳态触发器的波形图 暂稳态时间的求取: 暂稳态时间的求取可以通过过渡过程公式,根据图22-2-2可以用电容器C上的电压曲线确定三要素,初始值为u c(0)=0V,无穷大值u c(∞)=V CC,τ=RC,设暂稳态的时间为t w,当t= t w时,u c(t w)=2V CC/3时。代入过渡过程公式[1-p205]

几点需要注意的问题: 这里有三点需要注意,一是触发输入信号的逻辑电平,在无触发时是高电平,必须大于2V CC/3,低电平必须小于V CC/3,否则触发无效。 二是触发信号的低电平宽度要窄,其低电平的宽度应小于单稳暂稳的时间。否则当暂稳时间结束时,触发信号依然存在,输出与输入反相。此时单稳态触发器成为一个反相器。 R的取值不能太小,若R太小,当放电管导通时,灌入放电管的电流太大,会损坏放电管。图22-2-3是555定时器单稳态触发器的示波器波形图,从图中可以看出触发脉冲的低电平和高电平的位置,波形图右侧的一个小箭头为0电位。 图22-2-3 555定时器单稳态触发器的示波器波形图[动画4-5] 多谐振荡器 555定时器构成多谐振荡器的电路如图22-2-4所示,其工作波形如图22-2-5所示。 与单稳态触发器比较,它是利用电容器的充放电来代替外加触发信号,所以,电容器上的电压信号应该在两个阈值之间按指数规律转换。充电回路是R A、R B和C,此时相当输入是低电平,输出是高电平;当电容器充电达到2V CC/3时,即输入达到高电平时,电路的状态发生翻转,输出为低电平,电容器开始放电。当电容器放电达到2V CC/3时,电路的状态又开始翻转。如此不断循环。电容器之所以能够放电,是由于有放电端7脚的作用,因7脚的状态与输出端一致,7脚为低电平电容器即放电。 图22-2-4 多谐振荡器电路图图22-2-5 多谐振荡器的波形

10秒倒计时器的电子课程设计要点

机械与电子工程学院 课程设计报告 课程名称数字电子技术课程设计设计题目10秒倒计时器的设计所学专业名称电子信息工程 班级 学号 学生姓名 指导教师 2014年6月3日

任务书 设计名称:10秒倒计时器的设计 学生姓名:指导教师: 起止时间:自2014 年 5 月21 日起至2014 年 6 月4 日止 一、课程设计目的 1).熟悉集成电路及有关电子元器件的使用; 2).了解计时器主体电路的组成及工作原理; 3).学习数字电路中基本555定时器、时钟发生器及计数、译码显示等单元电路的综合应用。 二、课程设计任务和基本要求 设计任务: 1).设计好完整的操作方案。 2).对电路的原理进行简要分析。 3).在Multisim仿真软件中绘制出完整仿真电路图。并且尽可能地使整 个电路简洁、整齐、一目了然。 4).对设计的电路进行调试,完成课程设计应达到的目的. 基本要求: 1)具有10秒倒计时功能; 2)设置外部操作开关,控制计时器的直接清零/复位、开始和暂停/连续计数功能; 3)计时器计时间隔为1秒; 4)计时器递减计时到零时,数码显示器不灭灯,保持并闪烁光电报警。 5计时器暂停计数时,数码管闪烁提醒;

指导老师评价表

目录 摘要与关键字........................................................................1 1倒计时器组成及原理................................................................ 1.1倒计时计数器组成.................................................... 1.2工作原理 (3) 2.拟定设计方案 (4) 2.1用Multisim进行仿真计 (4) 2.2设计实现数码管示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电5 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 3.功能说明总结………………………………………… 4.课程设计小结 (9) 参考文献 (10) 附录一 附录二

单片机定时器设计

摘要 摘要 随着时代的进步,电子行业的发展,定时器的应用也越来越广泛,单片机以其强大的功能,成为许多功能电子产品的首选。本次电子定时器电路根据设计要求采用AT89C51单片机来实现最大99秒倒计时,采用两位数码管显示。文章的核心主要是硬件介绍及连接和软件编程两个大的方面。硬件电路主要包括AT89C51、晶振电路、数码管,发光二级管,按键。软件用汇编语言实现,主要包括主程序、倒计时、重启控制程序等软件模块。采用软硬件配合基本能实现设定定时时间倒计时功能,达到了设计的要求和目的。并在Proteus软件上进行了仿真和调试。 关键词 AT89C51单片机;定时器;倒计时

目录 摘要…………………………………………………………………………………………… 第一章绪论......................................................... 1.1定时器的发展................................................. 1.2 电子定时器的应用............................................... 1.3选题的目的和意义................................................ 1.4 本章小结 第二章单片机的基础知识 (3) 2.1单片机简介 (3) 2.2单片机的特点 (3) 2.3 本章小节 第三章功能实现及硬件介绍 (4) 3.1 设计功能实现 (4) 3.2 C51单片机引脚介绍 (9) 3.3时钟和复位电路 3.4数码管显示 (10) 3.5键盘 (12) 3.6电气原理图……………………………………………………… 3.7本章小结 第四章软件设计 (15) 4.1 程序流程图 (15) 4.2定时1秒设计 (16) 4.3重新启动 (17) 4.4程序 (17) 4.5 本章小结 结论................................................................ 参考文献............................................................ 致谢.........................................................................

电子倒计时设计

一、系统功能分析 本设计要求实现电子倒计时的功能,即预置一个时间,启动倒计时,当时间到后,LED灯闪烁报警。由于我们常用的单片机为AT89C51,且也满足要求,因此设计中单片机采用的是AT89C51;按键采用触发按键,可通过按键输入预置时间值以及进行功能调节。时间显示采用的是数码管(六个),可分别显示两位小时、分钟、秒。数码管驱动电路采用74HC595。还有设置一个LED灯进行闪烁提醒。另外,设置两个功能键,启动键和预置键(通过矩阵按键4*3设置)。上电数码管显示六个0;按下预置键,进行预置时间;依次输入六个数字,小时在前;(通过矩阵按键4*3输入0-9十个数字)。设置完成后,按下启动键,倒计时开始;当数码管显示为六个0时,LED灯以500ms的频率进行闪烁,提醒时间到。此时,当再次按下预置键后重复以上操作,当按下启动键无操作。 二、系统框图 图1 系统组成框图 如图1是本设计的系统组成框图;系统采用5V电源供电;预置时间以及功能调节通过矩阵按键实现,显示电路使用六位数码管实现;当时间到后,报警电路工作,使用LED灯闪烁实现报警提醒。

三、硬件电路设计 1、矩阵按键电路 本设计采用4*3矩阵按键;S7-S17为依次需要输入的十个数字(0-9),S18和S19分别是启动键和预置键。通过程序,检测按键是否被按下。如有按下,则执行相应的程序。如图2。 图2 矩阵按键电路 2、数码管驱动电路 本设计数码管驱动采用的是带有锁存功能的芯片74HC595。74HC595内有一个8位移位寄存器和一个8D锁存器,当数码管位数多时可有效的避免在数据刷新过程中的瞬间闪烁问题。如图3 只截取了三个数码管,应该有六个。 图3 数码管驱动电路

倒计时器

成绩评定表

课程设计任务书

摘要 Protel设计系统是世界上第一套将EDA环境引入到Windows环境中的EDA开发工具,是具有强大功能的电子设计CAD软件,它具有原理图设计、印刷电路板(PCB)、设计层次原理图设计、报表制作、电路仿真以及逻辑器件设计等功能,是进行电子设计最有用的软件之一。是个完整的板级全方位电子设计系统,它包含了电路原理图绘制、模拟电路与数字电路混合信号仿真、多层印制电路板设计(包含印制电路板自动布线)、可编程逻辑器件设计、图表生成、电子表格生成、支持宏操作等功能,并具有Client/Server(客户/服务器)体系结构,同时还兼容一些其它设计软件的文件格式,如ORCAD,PSPICE,EXCEL 等,其多层印制线路板的自动布线可实现高密度PCB的100%布通率。在国内PROTEL软件较易买到,有关PROTEL软件和使用说明的书也有很多,这为它的普及提供了基础。想更多地了解PROTEL的软件功能或者下载PROTEL99的试用版,可以在INTERNET上。 单片机全称为单片微型计算机(Single Chip Microcomputer)。因为单片机主要用于控制系统中,所以又称微控制器或嵌入式控制器。它具有嵌入式应用系统所要求的体系结构,微处理器、指令系统、总线方式、管理模式等。它把计算机的基本部件都微型化集成到一块芯片上,通常片内部都含有中央处理器(CPU)、数据存储器(RAM)、程序存储器(ROM,EPROM,Flsh ROM)、定时器/计数器和各种输入/输出(I/O)接口。 本次实验课设是利用单片机设计一个简易的365倒计时器,并利用Protel 99 SE对其原理图进行绘制,PCB图制作和仿真. 关键字:Protel设计;单片机;365计数器原理图绘制; PCB制作

基于单片机的家用定时器设计

吉首大学 《单片机技术》课程设计报告项目名称:家用多功能定时器设计与制作专业年级:物理机电工程工程2012级 学号: 20124052023 学生姓名:刘锐 指导教师:陈善荣 报告完成日期2015 年7 月 1 日 评阅结果评阅教师

第一章绪论 (1) 1.1 系统背景 (1) 1.1.1 单片机的电子技术 (1) 1.1.2 定时器介绍 (1) 1.2 设计要求 (2) 第二章系统电路设计 (2) 2.1 设计框架介绍 (2) 2.2 系统硬件单元电路设计 (2) 2.2.1 复位电路设计 (2) 2.2.2 时钟电路设计 (3) 2.2.3 按键电路设计 (4) 2.3 系统硬件总电路 (4) 第三章系统软件设计 (5) 3.1 系统软件流程图 (5) 3.2 系统程序设计 (5) 3.2.1 主程序 (5) 3.2.2 中断程序 (12) 第四章实验结果和分析 (13) 4.1 实验使用的仪器设备 (13) 4.2测试结果分析 (13) 结束语 (13) 参考文献 (14)

第一章绪论 1.1 系统背景 1.1.1 单片机的电子技术 单片机是将CPU、RAM\ROM\定时器/计数器以及输入输出(I/O)接口等计算机的主要部件集成在一块的集成电路芯片,作为微机系统它还可以实现模/数转换、脉宽调制、计数器捕获/比较逻辑、高速I/O口和WDT各种控制功能。 通过在MCS-51系列的单片机中增设了全双工串行口I/O、片内数据存储器采用寻址范围为256kb的8位地址、均有四种工作方式的2个16位的定时/计数器、增加了中断系统、增设了颇具特色的布尔处理机、让单片机具有较强的指令寻址和运算功能这些技术,使单片机拥有了完善的外部并行总线(AB、DB、CB)具有多机识别功能的串行通信接口,规范了功能单元的特殊功能寄存器控制模式及适应控制器特点的布尔处理系统和指令系统,位发展具有良好兼容性的新一代单片机奠定了良好的基础。 单片机被广泛地应用在各种领域。例如用来作家用电器中如洗衣机、电冰箱、微波炉、电饭煲、电视机、录像机以及其他视频音像设备的控制器;在办公室中用作大量通信、信息的承载体,比如磁盘驱动、打印机、复印机、电话等;它还可以来构成电子秤、收款机、仓储安全检测系统、空气调节系统等冷冻保鲜系统等的专用系统;在工业中,像工业过程控制、过程监制以及机电一体化控制等系统都是以单片机为核心火多网络系统;它还可以构成一些智能仪表与集成智能传感器传统的控制电路,实现一些像存储、数据处理、查找、判断、联网和语音功能等智能化功能,还可以构成一些电子系统中的集中显示系统、动力检测控制系统、自动驾驶系统、通信系统以及运行监视器等的冗余网络系统。 1.1.2 定时器介绍 人类最早使用的定时工具是沙漏或水漏,但在钟表诞生发展成熟之后,人们开始尝试使用这种全新的计时工具来改进定时器,达到准确控制时间的目的。 1876年,英国外科医生索加取得一项定时装置的专利,用来控制煤气街灯的开关。它利用机械钟带动开关来控制煤气阀门。

相关文档
最新文档