东华大学数电课程设计报告——数字频率计

东华大学数电课程设计报告——数字频率计
东华大学数电课程设计报告——数字频率计

目录

第一章设计指标 (1)

1.1功能要求 (1)

1.2参数指标 (1)

第二章系统概述 (2)

2.1设计思想 (2)

2.2可行性论证 (2)

2.3各功能的组成 (2)

2.4总体工作过程 (2)

第三章单元电路设计与分析 (4)

3.1各单元电路的选择 (4)

3.2设计及工作原理分析 (11)

第四章电路的组构与调试 (13)

4.1 遇到的主要问题 (13)

4.2 现象记录及原因分析 (13)

4.3 解决措施及效果 (14)

4.4 功能的测试方法、步骤、设备、记录的数据 (14)

第五章结束语 (15)

5.1对设计题目的结论性意见及进一步改进的意向说明 (15)

5.2 总结设计的收获与体会 (15)

附图(电路图、电路总图) (16)

参考文献 (17)

第一章 设计指标

1.1 功能要求

1、整体功能要求

要求设计一个测量TTL 方波信号频率的数字系统。用按键选择测量信号频率。测量值采用4个LED 七段数码管显示,并以发光二极管指示测量对象:频率以及测量值得单位:Hz 、kHz 。频率的测量范围有四档量程。 1)测量结果显示4位有效数字,测量精度为万分之一。 2)频率测量范围:100.0Hz~999.9kHz ,分为4档。 第一档:100.1Hz~999.9Hz 第二档:1.000kHz~9.999kHz 第三档:10.00kHz~99.99kHz 第四档:100.0kHz~999.9kHz

3)量程切换可以采用两个按键SWB 、SWA 手动切换。

1.2参数指标

1、主要参数指标

1)测量范围:被测信号的最高频率和最低频率。 2)测量精度:测量数字值的有效位。

3)测量误差:主要有计数误差和时基误差。 ① 时基误差——定时时间不准造成的误差,与被测信号频率及数值有效位无关, 为恒定值:

② 计数误差——由于输入信号与标准定时信号不同步,可能产生1个脉冲的误差。

测量值有效位越多,计数相对误差越小: 累计标准时间TS 中被测信号的脉冲个数NX ,被测信号频率:

%100Δ1

ΔX ?≈S

f f %100ΔX ?≈X

s

f f f S

X

X T N f ≈

第二章系统概述

2.1设计思想

1、周期性信号频率的物理意义是信号在1s时间内的周期数,单位有赫兹(Hz)、

千赫兹(kHz)、兆赫兹(MHz)等;

2、数字频率计的基本原理是测量周期性信号在单位时间内的信号周波数,所以

它的主要电路是计数器,需要控制的是计数器的输入脉冲和计数时间,其输入脉冲为被测信号,计数时间为时基信号的周期(单位时间)。

3、被测信号的频率就是在单位时间内所产生的脉冲个数。因此可以利用计数器

来完成计数功能,在利用公式f=N/T就可以计算出待测信号的频率。

4、计数器进行计数,利用锁存器将计数的结果进行锁存,并把锁存的结果输送

到译码器来控制七段显示器显示输出结果。同时还需用一个逻辑控制电路产生相应的锁存和清零信号以分别控制锁存器和计数器的工作状态。

2.2可行性论证

1、时间和频率测量是电子测量技术中最基本的测量之一。

2、频率的物理意义是单位时间(1s)内信号的周波数。所以频率测量问题等同于

时间测量问题

3、在电子系统中,频率、周期、时间的测量通常采用计数器。

4、计数测量一般采用比较法:

1)测量一段标准时间内周期性信号的周波数(测频)。

2)计数器的计数时间(开门时间)和计数脉冲是关键参数。

2.3各功能的组成

1、产生系统基准时钟的石英晶体振荡器;

2、多级分频电路;

3、计数及显示控制:计数器,锁存器,寄存器,译码显示器,发光二极管;

4、量程切换控制:小数点位置控制,测量单位控制;

5、测量状态控制:数字单稳态触发器,计数器清零,显示锁存。

2.4总体工作过程

原理框图

原理框图

第三章单元电路设计与分析

3.1各单元电路的选择1、10倍率分频器(10fp、Div8)

2、译码显示(4scan)

3、BCD

4、BCD计数(BCDjishu)

5、测量单位控制(danweikongzhi)

6、数字单稳态触发电路(danwentai)

7、div25

8、dot

9、晶体振荡器(jingyizhendang)

10、testBCDjishu

11、Testwhole

12、调试信号频率选择(tiaoshisignal、tiaoshisignal2)

3.2设计及工作原理分析

1、测量时间TS选择:根据测量精度(有效数位)和被测信号频率切换TS时间。

由于测量时间TS的精度直接影响了测频的准确性,所以一般采用高精度的石英晶体振荡器产生标准时钟fC 。

由于测量时间TS需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。

2、高频信号的频率测量方法:累计标准时间TS 内的信号脉冲个数

NX

频率测量值: 测量相对误差:

测量精度取决于标准时间TS 的精度及NX 的有效数位,NX 越小,测量误差较大。

S

X X T N f ≈

X

X X X X 1

ΔΔN N N f f ≤≈

第四章电路的组构与调试

4.1 遇到的主要问题

1、模块引脚显示顺序混乱

2、同时显示两个小数点

3、显示乱码

4、找不到需要使用的引脚号

4.2 现象记录及原因分析

1、模块引脚显示顺序混乱

改进前改进后

原因分析:引脚排列顺序与各器件排列几何位置有关

2、同时显示两个小数点

原因分析:应该用与或非门而用成了与或门

3、显示乱码

原因分析:电路连接有误,器件对应关系错误

4、找不到需要使用的引脚号

原因分析:选择Cyclone系列的EP1C3T144C8器件时选择错误

4.3 解决措施及效果

1、模块引脚显示顺序混乱

解决措施:尝试重新排列器件位置,改变各个器件之间的位置关系

效果:引脚恢复需要的顺序

2、同时显示两个小数点

解决措施:将用错的与或门换成与或非门

效果:小数点恢复正常

3、显示乱码

解决措施:检查修改电路

效果:乱码消失,恢复正常显示

4、找不到需要使用的引脚号

解决措施:在当前页面重新选择器件,重新给引脚编号

效果:找到需要的引脚号

4.4 功能的测试方法、步骤、设备、记录的数据

1、功能测试的方法:

1)按键:SWB、SWA 表示四档量程选择;

2)SWC表示产生不同调试信号

3)4个LED七段数码管,显示测量结果

4)发光二极管:表示单位指示Hz或KHz,也可以用一个发光二极管(亮或者不亮);2、功能测试的步骤:

1)全编译Compile

2)下载Programmer

通过下载电缆将编程文件下载到器件中

用下载电缆将PC机与实验设备相连,实验装置打开电源,在Tools菜单中选择Programmer,设置下载电缆为ByteBlaste[LPT1]、选择下载模式为JTAG,然后加载要下载的*.sof文件,注意Device栏是否为你选择的器件,然后点击Start开始下载。完成编程后芯片即能实现所设计的逻辑功能。

LP-2900实验装置绿灯亮,开始测试。

3、功能测试的设备:Quartus软件及LP-2900实验装置

4、记录的数据:

第五章结束语

5.1对设计题目的结论性意见及进一步改进的意向说明

1、意见

关于课程设计类的题目还可以更有趣更贴近日常生活,更加有实用价值一点。

5.2 总结设计的收获与体会

本次设计让我体味到了设计电路过程中的苦与甜。

设计是我们将来必需的技能,这次恰恰给我们提供了一个应用自己所学知识的机会,从看书到理论分析再到最后的电路设计,都对我所学的知识进行了检验。在设计过程中发现了以前学的数电的知识掌握不牢。同时在设计的过程中,遇到了一些以前没见到过的设备,但是通过学习来掌握它们的使用。最重要的是要熟练地掌握课本上的知识,这样才能对设计中出现的问题进行分析解决。

附图(电路总图)

参考文献

[1]赵曙光.数字电路及系统设计.北京:高等教育出版社,2011

[2]崔葛瑾.基于FPGA的数字电路系统设计.西安:西安电子科技大学出版社,2008.7

东华大学线性VF转换课程设计报告材料2014

东华大学 线性V/F转换课程设计报告 信息科学与技术学院

目录 一、设计概述 (1) 二、设计任务及要求 (2) (1)设计任务 (2) (2)性能指标要求 (2) 三、设计方案选择 (2) 1.方案一及框图 (3) 2.方案二及框图 (3) 3.方案原理优缺点比较 (4) 四、设计思路 (4) 1、输入信号:电源分压电路 (5) 2、阻抗变换:电压跟随器 (5) 3、基准源 (6) 4、积分电路 (6) 5、脉冲输出电路 (7) 6、开关电路 (8) 7、总电路图 (9) 五、计算机仿真 (10) 六、实际组装与调试 (11) 1.电路器件表 (11) 2.总输出波形 (12) 3.实际连接电路 (13) 4.组装和调试过程 (13) 七、数据分析及改进 (14) (1)数据处理 (14) (2)数据分析 (14) (3)根据数据分析所得改进方法 (15) 八、心得与体会 (16) 九、参考文献 (17) 十、附录 (17) 一、各器件引脚图 (17) 二、手绘电路图 (17)

一、设计概述 线性V/F转换器是压控振荡器中完成外加电压和输出频率线性变换的部分。通过本次课程设计,应在了解线性V/F转换器设计原理及构成的基础上,利用集成运算放大器、积分电路以及脉冲电路等构成整个小系统,通过改变输入电压,实现对信号输入频率的线性变换。 二、设计任务及要求 (1)设计任务 选取基本集成放大器LF353、555定时器、三极管、电阻、电容和稳压管等元件,设计并制作一个简易线性V/F转换器。首先在multism仿真软件上进行电路设计和原理仿真,选取合适电阻参数,通过输出波形的频率测试线性V/F转换器的运行情况。其次在硬件设计平台上搭建电路,并进行调试,通过示波器观测电路的实际输出波形。最后将电路实际输出波形与理论分析和仿真结果进行比较,分析产生误差的原因并提出改进方法。 (2)性能指标要求 ●电源电压:±12V ; ●输入信号:直流信号0 ~10V可变; ●输出信号:频率为0~10kHz对应; ●精度:误差小于±30Hz; ●波形要求:脉冲宽度20~40μs、0 ~10V矩形波。

电子技术课程设计(数字频率计的设计)

一课程设计题目:数字频率计的设计 二、功能要求 (1)主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。 (2)率范围:分四1Hz~999Hz、01kHz~9.99kHz、1kHz~99.9kHz、10~999KHZ (3)周期范围:1ms~1s。 (4)用3个发光二极管表示单位,分别对应3个高档位。 三频率计设计原理框图 正弦波 数字频率计原理框图 1

测试电路原理:在测试电路中设置一个闸门产生电路,用于产生脉冲宽度为1s 的闸门信号。改闸门信号控制闸门电路的导通与开断。让被测信号送入闸门电路,当1s闸门脉冲到来时闸门导通,被测信号通过闸门并到达后面的计数电路(计数电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。测量频率的误差与闸门信号的精度直接相关。 被测信号 频率测量算法对应的方框图 四、各部分电路及仿真 1 整形电路部分 整形电路的目的是将三角波、正弦波变成方便计数的脉冲信号。整形电路可以直接用555定时器构成施密特触发。 本次设计采用555定时器,适当连接若干个电阻就可以构成触发器 图1-1 整形电路 将555定时器的THR和TR1两个输入端连在一起作为信号输入端,则可得到 显示电路 闸门产生 输入电路闸门计数电路

施密特触发器,为了提高其稳定性通常要在要在CON端口接入一个0.01uf左右的滤波电容。但使用555定时器的时候输入的电压应该要大于5V,本次设计直接用信号源来做输入信号,并且信号源的振幅为10V,没有用放大电路将信号放大。 2 时基电路 时基电路时用来控制闸门信号选通的时间,由于本次设计的频率计测试范围是0到999KHz,故时基信号要有1ms 10ms 100ms 1s,基于上述,还需要一个分频器分出不同的频率。设计过程如下:可用一个多谐振电路产生频率为1KHz的脉冲信号(即T=1ms),然后使用分频器产生10ms 100ms 1s。 多谐振电路可以采用555定时器或者晶体振荡器来完成。本次设计采用555定时器实现,本次设计的精确度要求比较低,而且555定时器组成的多谐振荡起的最高振荡频率只能最多1MHz,而我们将用555定时器产生1Kz的频率,满足在该范围之内。分频器采用10分频,可用74LS90或者74LS160。 图2-1555定时器构成的多谐振振荡器 555多谐振振荡器设计参数:设计一个震荡周期为1ms,输出的占空比 2 3 q

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

数电课设报告1

通过20进制计数器的输出端的E、D信号控制移位寄存器的S0和S1及其CLR'端真值表

七、附录 555的内部结构 555定时器电路是一块介于模与数字电路的一种混合电路,由于这种特殊的地位,故5 55定时电路在报警电路、控制电路得到了广泛的应用。下图为555的内部电路,从图上可以看出,其仅有两个比较器、一个触发器、一个倒相器、放电管和几个电阻构成,由于比较器电路是一个模拟器,而触发器电路为数字电路,故其为混合器件。 555为一8脚封装的器件,其各引脚的名称和作用如下: 1脚—GND,接地脚 2脚—TL,低电平触发端 3脚—Q,电路的输出端 4脚—/R D,复位端,低电平有效 5脚—V_C,电压控制端 6脚—TH,阈值输入端 7脚—DIS,放电端 8脚—V CC,电源电压端,其电压范围为:3~18V 555的功能描述

上图中当V_C不外接电压时,三个电阻对电源电压进行分压,每个电阻上的压降为1/3 V CC,则两个比较器的同相端的输出电压分别为:1/3CC,2/3V CC。从图上可以看出,其555的工作可分为下列3种情况加以讨论: 1.当触发输入端TL输入电压低于1/3V CC而阈值输入端电压大于2/3V CC时,其下面比较器输出为高电平,触发器输出高电平; 2.当触发输入端TL输入电压高于1/3V CC,而阈值输入端电压小于2/3V CC时,其两个比较器输出皆为低电平,触发器输出保持不变; 3.当触发输入端TL输入电压高于1/3V CC而阈值输入端电压大于2/3V CC时,其上面比较器输出为高电平,触发器输出低电平。 当然你在上面讨论时可同时对放电管进行讨论其状态,这里没有讨论,详情可能见有关资料,从上面的讨论,可列出下列表格: 输入输出 TH TL/RD Q放电管状态 ××00导通>2/3V CC>1/3V CC10导通 <2/3V CC>1/3V CC1保持不变保持不变 >2/3V CC>1/3V CC10导通 <2/3V CC<1/3V CC11截止 一、芯片名称:同步可预置带清零二进制计数器 二、74LS163芯片的引脚图和引脚说明:

东华大学操作系统存储管理实验报告

东华大学计算机学院 操作系统实验报告 实验名称:存储管理问题 姓名:姜元杰 学号:111310228 班级:计算机1102 指导老师:李继云 报告日期:2013/11/2

一、实验概述 1.实验目标 存储管理的主要功能之一是合理地分配空间。请求页式管理是一种常用的虚拟存储管理技术。本实验的目的是通过请求页式存储管理中页 面置换算法模拟设计,了解虚拟存储技术的特点,掌握请求页式管理的 页面置换算法。 2.实验要求 1)通过随机数产生一个指令序列,共320条指令,指令的地址按下述 原则生成: ●50%的指令是顺序执行的; ●25%的指令是均匀分布在前地址部分。 ●25%的指令是均匀分布在后地址部分。 2)将指令序列变换成页地址流 ●页面大小= 10条指令 ●4页<=用户内存容量<=32页; ●用户虚存容量= 32页; ●在用户虚存中,按每K存放10条指令排列虚存地址 3)计算并输出下述各种算法在不同内存容量下的命中率。 ●先进先出的算法(FIFO); ●最近最少使用算法(LRU); ●最佳淘汰算法(OPT); ●命中率=1-页面失效次数/页地址流长度; 输出以表结构输出,行头是页码,列头是对应替换算法。在本实验 中,页地址流长度为320,页面失效次数为每次访问相应指令时,该 指令所对应的页不在内存的次数。

二、实验内容 1.设计思路 总体思路: 设计存储管理类(class StorageManagemen),封装FIFO,LRU,OPT算法实现函 数与各自所需公共或个体数据机构和公共代码部分,实现“TOP-DOWN”的程序设 计思想,增强代码结构性和可读性。 1)先进先出的算法(FIFO):FIFO是最简单的页置换算法,FIFO的页置 换的算法为每个页记录着该页调入内存的时间。当必须置换一页时,将 选择最旧的页。注意并不需要记录调入一页的确切时间,可以创建一个 FIFO队列来管理内存中的所有页。队列中的首页将被置换。当需要调入 页时,将它加入到队列的尾部。FIFO的页置换算法很好理解和实现,但 是,其性能并不是很好。所替代的页可能是很久以前使用的、现已不再 使用的初始化模块,另一方面,所替代的页可能包含一个以前初始化的 并且不断使用的常用变量。 2)最近最少使用算法(LRU):选择最近最长时间未访问过的页面予以淘 汰,默认过去一段时间内未访问过的页面,在最近的将来可能也不会被 访问。本实验实现算法通过为每个页面设置一个访问字段,用来记录页 面自上次被访问以来所经历的时间,淘汰页面时选择现有页面中值最大 的予以淘汰。尽管各类参考书声明LRU算法为堆栈类算法,但本实验通 过优先队列完全可以实现。 3)最佳淘汰算法(OPT):最佳置换算法所选择的被淘汰页面将是以后永不 使用,或者是在最长时间内不再被访问的页面,这样可以保证获得最低 的缺页率。本实验实现算法通过为每个页面设置一个CPU使用“间隔” ——即表示CPU将在未处理的页面序列中第几步处理到该页面,如果页 面不再被CPU处理,赋值为极大值(INT_MAX),淘汰页面时选择现有 页面中值最大的予以淘汰。本实验同LRU一样,通过优先队列实现。2.主要数据结构

南京邮电大学课程设计报告-简易数字频率计

目录 第一章技术指标 整体功能要求 系统结构要求 电气指标 扩展指标 设计条件 第二章整体方案设计 算法设计 整体方框图及原理 第三章单元电路设计 时基电路设计 闸门电路设计 控制电路设计 小数点显示电路设计 整体电路图 整机原件清单 第四章测试与调整 时基电路的调测 显示电路的调测 4-3 计数电路的调测 控制电路的调测 整体指标测试 第五章设计小结 设计任务完成情况 问题及改进 心得体会 第一章技术指标

1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 3.电气指标 被测信号波形:正弦波、三角波和矩形波。 测量频率范围:分三档: 1Hz~999Hz ~ ~ 测量周期范围:1ms~1s。 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~的精度均为+1。 5.设计条件 电源条件:+5V。 可供选择的元器件范围如下表

门电路、阻容件、发光二极管和转换开关等原件自定。 第二章 整体方案设计 算法设计 频率是周期信号每秒钟内所含的周期数值。可根据这一定义采用如图2-1所示的算法。图2-2是根据算法构建的方框图。 被测信号

电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。测量频率的误差与闸门信号的精度直接相关,因此,为保证在1s内被测信号的周期量误差在10 3量级,则要求闸门信号的精度为10 量级。例如,当被测信号为1kHz时,在1s的闸门脉冲期间计数器将计数1000次,由于闸门脉冲精度为10 ,闸门信号的误差不大于,固由此造成的计数误差不会超过1,符合5*10 3的误差要求。进一步分析可知,当被测信号频率增高时,在闸门脉冲精度不变的情况下,计数器误差的绝对值会增大,但是相对误差仍在5*10 3范围内。 整体方框图及原理 输入电路:由于输入的信号可以是正弦波,三角波。而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。在整形之前由于不清楚被测信号的强弱的情况。所以在通过整形之前通过放大衰减处理。当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。当输入信号电压幅度较小时,前级输入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益,时被测信号得以放大。 频率测量:测量频率的原理框图如图2-3.测量频率共有3个档位。被测信号经整形后变为脉冲信号(矩形波或者方波),送入闸门电路,等待时基信号的到来。时基信号由RC振荡电路构成一个较稳定的多谐振荡器,经4093整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。 周期测量:测量周期的原理框图2-4.测量周期的方法与测量频率的方法相反,即将被测信号经整形、二分频电路后转变为方波信号。方波信号中的脉冲宽度恰好为被测信号的1个周期。将方波的脉宽作为闸门导通的时间,在闸门导通的时间里,计数器记录标准时基信号通过闸门的重复周期个数。计数器累计的结果可以换算出被测信号的周期。用时间Tx来表示:Tx=NTs 式中:Tx为被测信号的周期;N为计数器脉冲计数值;Ts为时基信号周期。

数电课程设计-温度计实验报告(提交版)

一、设计项目名称 温度采集显示系统硬件与软件设计 二、设计内容及要求 1,根据设计要求,完成对单路温度进行测量,并用数码管显示当前温度值系统硬件设计,并用电子CAD软件绘制出原理图,编辑、绘制出PCB印制版。 要求: (1)原理图中元件电气图形符号符合国家标准; (2)整体布局合理,注标规范、明确、美观,不产生歧义。 (3)列出完整的元件清单(标号、型号及大小、封装形式、数量) (4) 图纸幅面为A4。 (4)布局、布线规范合理,满足电磁兼容性要求。 (5)在元件面的丝印层上,给出标号、型号或大小。所有注释信息(包括标号、型号及说明性文字)要规范、明确,不产生歧义。 2.编写并调试驱动程序。 功能要求: (1)温度范围0-100℃。 (2)温度分辨率±1℃。 (3)选择合适的温度传感器。 3.撰写设计报告。 提示:可借助“单片机实验电路板”实现或验证软件、硬件系统的可靠性。 温度传感器 摘要:温度的检测与控制是工业生产过程中比较典型的应用之一,随着传感器在生产和生活中的更加广泛的应用,利用新型单总线式数字温度传感器 实现对温度的测试与控制得到更快的开发,随着时代的进步和发展,单 片机技术已经普及到我们生活,工作,科研,各个领域。一种数字式温 度计以数字温度传感器DS18B20作感温元件,它以单总线的连接方式, 使电路大大的简化。传统的温度检测大多以热敏电阻为传感器,这类传 感器可靠性差,测量温度准确率低且电路复杂。因此,本温度计摆脱了 传统的温度测量方法,利用单片机STC89C52对传感器进行控制。这样

易于智能化控制。 关键词:数字测温;温度传感器DS18B20;单片机STC89C52; 一.概述 传感器从功能上可分为雷达传感器、电阻式传感器、电阻应变式传感器、压阻式传感器、热电阻传感器、温度传感器、光敏传感器、湿度传感器、生物传感器、位移传感器、压力传感器、超声波测距离传感器等,本文所研究的是温度传感器。 温度传感器是最早开发,应用最广泛的一类传感器。温度传感器是利用物质各种物理性质随温度变化的规律把温度转换为电量的传感器。这些呈现规律性变化的物理性质主要有半导体。温度传感器是温度测量仪表的核心部分,品种繁多。 随着科学技术的发展,测温系统已经被广泛应用于社会生产、生活的各个领域,在工业、环境监测、医疗、家庭多方面均有应用。从而使得现代温度传感器的发展。微型化、集成化、数字化正成为发展的一个重要方向。 二.硬件设计 1.DS18B20 DS1820 单线数字温度计特性 ? 独特的单线接口仅需一个端口引脚进行通讯 ? 简单的多点分布应用 ? 无需外部器件 ? 可通过数据线供电 ? 零待机功耗 ? 测温范围-55~+125℃,以 0.5℃递增 ? 温度以 9 位数字量读出 ? 温度数字量转换时间 200ms (典型值) ? 用户可定义的非易失性温度报警设置 ? 报警搜索命令识别并标志超过程序限定温度(温度报警条件)的器件 ? 应用包括温度控制、工业系统、消费品、温度计或任何热感测系统 DS1820温度传感器外观图(a )和引脚图(b ) ①引脚1接地 ②引脚2数字信号输入/输出 ③引脚3接高电平5V 高电平

数电实验-实验报告-实验六

实验一 TTL与非门的参数测试 一、实验目的 ·掌握用基本逻辑门电路进行组合逻辑电路的设计方法。 ·通过实验,验证设计的正确性。 二、实验原理 1.组合逻辑电路的分析: 所谓组合逻辑电路分析,即通过分析电路,说明电路的逻辑。 通常采用的分析方法是从电路的输入到输出,根据逻辑符号的功能逐级列出逻辑函数表达式,最好得到表示输出与输入之间的关系的逻辑函数式。然后利用卡诺图或公式化简法将得到的函数化简或变换,是逻辑关系简单明了。为了使电路的逻辑功能更加直观,有时还可以把逻辑函数式转化为真值表的形式。 2.逻辑组合电路的设计: 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单电路,陈伟组合逻辑电路的设计。 3.SSI设计:设计步骤如下: ①逻辑抽象;分析时间的因果关系,确定输入和输出变量。 ②定义逻辑状态的含义:以二值逻辑0、1表示两种状态。 ③列出真值表 ④写出逻辑表达式,并进行化简,根据选定器件进行转换。 ⑤画出逻辑电路的连接图。 ⑥实验仿真,结果验证。 三、实验仪器及器件 数字万用表1台

多功能电路实验箱1台 四、实验内容 1.设计5421BCD 码转换为8421BCD 码(用双输入端与非门实现)。 四位自然二进制码 5421BCD码 B3 B2 B1 B0 D3 D2 D1 D0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 1 0 0 1 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 1 0 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 1 1 1 0 1 0 伪码 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 根据5421BCD 码与8421BCD 码真值表可得 2.设A 、B 、C 、D 代表四位二进制变量,函数X=8A-4B+2C+D ,试设计一个组合逻辑电路,判断当函数值介于4

东华大学数电课程设计报告——数字频率计

目录 第一章设计指标 (1) 1.1功能要求 (1) 1.2参数指标 (1) 第二章系统概述 (2) 2.1设计思想 (2) 2.2可行性论证 (2) 2.3各功能的组成 (2) 2.4总体工作过程 (2) 第三章单元电路设计与分析 (4) 3.1各单元电路的选择 (4) 3.2设计及工作原理分析 (11) 第四章电路的组构与调试 (13) 4.1 遇到的主要问题 (13) 4.2 现象记录及原因分析 (13) 4.3 解决措施及效果 (14) 4.4 功能的测试方法、步骤、设备、记录的数据 (14) 第五章结束语 (15) 5.1对设计题目的结论性意见及进一步改进的意向说明 (15) 5.2 总结设计的收获与体会 (15) 附图(电路图、电路总图) (16) 参考文献 (17)

第一章 设计指标 1.1 功能要求 1、整体功能要求 要求设计一个测量TTL 方波信号频率的数字系统。用按键选择测量信号频率。测量值采用4个LED 七段数码管显示,并以发光二极管指示测量对象:频率以及测量值得单位:Hz 、kHz 。频率的测量范围有四档量程。 1)测量结果显示4位有效数字,测量精度为万分之一。 2)频率测量范围:100.0Hz~999.9kHz ,分为4档。 第一档:100.1Hz~999.9Hz 第二档:1.000kHz~9.999kHz 第三档:10.00kHz~99.99kHz 第四档:100.0kHz~999.9kHz 3)量程切换可以采用两个按键SWB 、SWA 手动切换。 1.2参数指标 1、主要参数指标 1)测量范围:被测信号的最高频率和最低频率。 2)测量精度:测量数字值的有效位。 3)测量误差:主要有计数误差和时基误差。 ① 时基误差——定时时间不准造成的误差,与被测信号频率及数值有效位无关, 为恒定值: ② 计数误差——由于输入信号与标准定时信号不同步,可能产生1个脉冲的误差。 测量值有效位越多,计数相对误差越小: 累计标准时间TS 中被测信号的脉冲个数NX ,被测信号频率: %100Δ1 ΔX ?≈S f f %100ΔX ?≈X s f f f S X X T N f ≈

电子课程设计——数字频率计

2020/9/14 电子课程设计 ——数字频率计

目录 一 . 设计任务与要求 (2) 二 . 总体框图 (2) 2 . 1 题目分析及总体方案确定 (2) 三 . 选择器件 (4) 3 . 1 元件清单列表 (4) 3 . 2各元器件符号及逻辑功能 (5) 四 . 功能模块 (11) 4 . 1 整形电路 (11) 4 . 2 时基电路 (11) 4 . 3 逻辑控制电路 (12) 4 . 4 计数器、锁存器 (13) 4 . 5 译码显示电路 (15) 五 . 总体设计电路图 (15)

一 . 设计任务与要求 数字频率计是用来测量正弦信号、矩形信号、三角波等波形工作频率的仪器,其测量结果用十进制数字显示。具体要求如下: 1.测量频率范围:1Hz~10KHz; 2.数字显示位数:4位数字显示; 3.测量时间:t≤1.5s; 4.被测信号:方波、三角波、正弦波。 二 . 总体框图 2 . 1 题目分析及总体方案确定 频率的测量总的来说有三种方法:直接测量法、直接与间接测量相结合的方法和多周期同步测量法。直接测量法最简单,但测量误差最大;后两种方法测量精度高,但电路复杂。由于该题目没有对测量误差提出特别要求,为简单起见,采用直接测量法。 数字频率计就是直接用十进制的数字来显示被测信号频率。可以测的方波的频率,通过放大整形处理,它可还以测量正弦波、三角波和尖脉冲信号的频率。所谓频率就是在单位时间(1s)内周期信号的脉冲个数。若在一定时间间隔T内测得周期信号的脉冲个数N,则其频率为f=N Hz。 据此可得数字频率计的组成框图如图1—1(a)所示:

1-1(a) 图中的逻辑控制电路有两个作用:一是产生锁存脉冲,使显示器上的数字稳定;二是产生清零脉冲,使计数器每次测量从零开始计数。各信号之间的时序关系如图1-1(b)所示,图中信号由上而下依次是由放大整形电路得到的脉冲信号、时间基准信号、闸门电路输出、锁存脉冲和清零脉冲。

数电课程设计报告数字钟的设计

数电课程设计报告数字钟的设计

数电课程设计报告 第一章设计背景与要求 设计要求 第二章系统概述 2.1设计思想与方案选择 2.2各功能块的组成 2.3工作原理 第三章单元电路设计与分析 3.1各单元电路的选择 3.2设计及工作原理分析 第四章电路的组构与调试 4.1遇到的主要问题 4.2现象记录及原因分析 4.3解决措施及效果 4.4功能的测试方法,步骤,记录的数据 第五章结束语 5.1对设计题目的结论性意见及进一步改进的意向说明5.2总结设计的收获与体会 附图(电路总图及各个模块详图) 参考文献

第一章设计背景与要求 一.设计背景与要求 在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。 设计一个简易数字钟,具有整点报时和校时功能。 (1)以四位LED数码管显示时、分,时为二十四进制。 (2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。 (3)整点报时采用蜂鸣器实现。每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。 (4)才用两个按键分别控制“校时”或“校分”。按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。 二.设计要求 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选

东华大学自动控制原理实验一

各位同学请注意: 1.上机实验为1-8周,每次上机请按照第一次的座位坐。 2.请先自习上机内容,实验内容可参考指导书。 3.9号机房座位安排见后面的名单,请按机位号入座,要点名哦。 实验一典型环节的MATLAB仿真 一、实验目的 1.熟悉MATLAB桌面和命令窗口,初步了解SIMULINK功能模块的使用方法。2.通过观察典型环节在单位阶跃信号作用下的动态特性,加深对各典型环节响应曲线的理解。 3.定性了解各参数变化对典型环节动态特性的影响。 二、SIMULINK的使用 MATLAB中SIMULINK是一个用来对动态系统进行建模、仿真和分析的软件包。利用SIMULINK功能模块可以快速的建立控制系统的模型,进行仿真和调试。1.运行MATLAB软件,在命令窗口栏“>>”提示符下键入simulink命令,按Enter 键或在工具栏单击按钮,即可进入如图1-1所示的SIMULINK仿真环境下。2.选择File菜单下New下的Model命令,新建一个simulink仿真环境常规模板。

3.在simulink仿真环境下,创建所需要的系统。 以图1-2所示的系统为例,说明基本设计步骤如下:

1)进入线性系统模块库,构建传递函数。点击simulink 下的“Continuous ”,再将右边窗口中“Transfer Fen ”的图标用左键拖至新建的“untitled ”窗口。 2)改变模块参数。在simulink 仿真环境“untitled ”窗口中双击该图标,即可改变传递函数。其中方括号内的数字分别为传递函数的分子、分母各次幂由高到低的系数,数字之间用空格隔开;设置完成后,选择OK ,即完成该模块的设置。 3)建立其它传递函数模块。按照上述方法,在不同的simulink 的模块库中,建立系统所需的传递函数模块。例:比例环节用“Math ”右边窗口“Gain ”的图标。 4)选取阶跃信号输入函数。用鼠标点击simulink 下的“Source ”,将右边窗口中“Step ”图标用左键拖至新建的“untitled ”窗口,形成一个阶跃函数输入模块。 5)选择输出方式。用鼠标点击simulink 下的“Sinks ”,就进入输出方式模块库,通常选用“Scope ”的示波器图标,将其用左键拖至新建的“untitled ”窗口。 6)选择反馈形式。为了形成闭环反馈系统,需选择“Math ” 模块库右边窗口“Sum ”图标,并用鼠标双击,将其设置为需要的反馈形式(改变正负号)。 7)连接各元件,用鼠标划线,构成闭环传递函数。 8)运行并观察响应曲线。用鼠标单击工具栏中的“”按钮,便能自动运行仿真环境下的系统框图模型。运行完之后用鼠标双击“Scope ”元件,即可看到响应曲线。 三、实验原理 1.比例环节的传递函数为 221211 ()2100,200Z R G s R K R K Z R =- =-=-== 图1-3所示左侧为其对应的模拟电路右侧即为SIMULINK 的图形。

数字频率计课程设计

课程设计任务书 学生姓名:覃朝光 ___________ 专业班级:通信1103 __________ 指导教师: ___________ 工作单位:信息工程学院 题目:数字频率计的设计与实现 初始条件: 本设il?既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形 电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率汁数值。 要求完成的主要任务:(包括课程设讣工作量及技术要求,以及说明书撰写等具体要求)仁课程设计工作量:1周。 2、技术要求: 1)设计一个频率讣。要求用4位7段数码管显示待测频率,格式为0000Hz. 2)测量频率范围:10~9999HZo 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V° 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设讼分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全 文用A4纸打印,图纸应符合绘图规范。 时间安排: 仁2013年5月17日,布宜课设具体实施计划与课程设计报告格式的要求说明。 2、2013年6月18日至2013年6月22日,方案选择和电路设计。 3、2013 年6月22日至2013 年7月1日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日 word

武汉理匸大学$数字电子电路》课程设讣说明书 目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (4) 1.1.3方案三:利用定时电路与计数器制作频率计 (5) 1.1.4方案确定 (6) 1.2原理及技术指标 (6) 1.3单元电路设计及参数计算 (8) 1.3.1时基电路 (8) 1.3.2放大整形电路 (9) 1.3.3逻辑控制电路 (9) 1.3.4计数器 (11) 1.3.5锁存器 (12) 1.3.6译码电路 (13) 2仿真结果及分析 (13) 2.1仿其总图 (13) 2.2单个元电路仿真图 (14) 2.3测试结果 (16) 3测试的数据和理论计算的比较分析 (16) 4制作与调试中出现的故障、原因及排除方法 (16) 4.1故障a (17) 4.2故障b (17) 4.3故障c (17) 4.4故障d (17) 4.5故障e (18) 5心得体会 (18) 2

数字电子技术课程设计报告

一、设计任务及要求 通过对《数字电子技术》课程的学习,让同学掌握《数字电子技术》课程的基本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分体现这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时”,“分”,“秒”。 二、设计的作用、目的 (1).在同学掌握《数字电子技术》课程的基本理论以及方法的基础上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 (2).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 (3). 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 (4). 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器(其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示环节、555定时器(可以提供一个比较精确的1Hz的时钟脉冲),时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

东华大学微机实验报告答案(软件)

目录 实验一DEBUG的启动及其基本命令的使用实验二内存操作数及寻址方法 实验三汇编语言程序的调试与运行 实验四查表程序设计 实验五系统功能调用 实验六分支程序设计 实验七循环程序设计 实验八子程序设计 实验九综合程序设计 实验心得体会 参考文献

实验一 DEBUG的启动及其基本命令的使用 源程序:(指导书中给出) DA TA SEGMENT STRING1 DB 'Move the cursor backward' STRING2 DB 'Move the cursor backward' MESS1 DB 'Match.',13,10,'$' MESS2 DB 'No Match.',13,10,'$' DA TA ENDS STACK SEGMENT STACK DB 40 DUP (?) STACK ENDS PROGNAM SEGMENT ASSUME CS:PROGNAM,DS:DA TA,ES:DA TA,SS:STACK MAIN PROC FAR START: PUSH DS XOR AX,AX PUSH AX MOV AX,DATA MOV DS,AX MOV ES,AX MOV AX,STACK MOV SS,AX LEA SI,STRING1 LEA DI,STRING2 CLD MOV CX,25 REPZ CMPSB JZ MA TCH LEA DX,MESS2 JMP SHORT DISP MATCH: LEA DX,MESS1 DISP: MOV AH,09h INT 21H RET MAIN ENDP PROGNAM ENDS END START 运行结果:

数电课程设计报告-数字频率计

数电课程设计报告:频率计 目录 一、设计指标 二、系统概述 1.设计思想 2.可行性论证 3.工作过程 三、单元电路设计及分析 1.器件选择 2.设计及工作原理分析 四、电路的组构及调试 1.遇到的问题 2.现象记录及原因分析 3.解决及结果 4.功能的测试方法、步骤、设备、记录的数据 五、总结 1.体会 2.电路总图 六、参考文献 一、设计指标 设计指标:要求设计一个测量TTL方波信号频率的数字系统。测试值采用4个LED七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。

频率的测量范围有四档量程。 1)测量结果显示四位有效数字,测量精度为万分之一。 2)频率测量范围:100.1Hz——999.9kHz,分为: 第一档: 100.0Hz——999.9Hz 第二档: 1.000kHz——9.999kHz 第三档: 10.00kHz——99.99kHz 第四档: 100.0kHz——999.9kHz 3)量程切换可以采用两个按键SWB、SWA手动切换。 扩展要求: 一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。 二、自动切换量程 提示: 1.计数器计到9999时,产生溢出信号CO,启动量程加档。 2.显示不足4位有效数字时量程减档。 三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。 二、系统概述 1.设计思想 周期性信号频率可通过记录信号在1s内的周期数来确定其频率。

累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts 测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。 采样定时、显示锁存、计数器清零的控制时序波形图 2.可行性论证 用计数器实现记录周期数的功能;用时基信号产生计数时间作为采样时间;用四位动态扫描通过数码管显示结果;因为如果计数器直接把数据输入到数码管显示,那么数码管的数据就会不断变化,累计增加的情况,所以采用锁存器,在每个时间信号内,通过一个高电平使能有效,将计数器的数值锁存到寄存器或者锁存器;为了不要让每次锁存的数据会比上次

数电课程设计

一、数字电子钟 1.设计目得 (1)培养数字电路得设计能力。 (2)掌握数字电子钟得设计、组装与调试方法。 2.设计内容及要求 (1)设计一个数字电子钟电路。要求: ①按24小时制直接显示“时”、“分”、“秒”。 ②当电路发生走时误差时具有校时功能。 ③具有整点报时功能,报时音响为4低1高,即在59分51秒、53秒、55秒、57秒输出500Hz信号,在59分59秒时输出1000 Hz信号,音响持续时间为1秒,最后一响结束时刻正好为整点。 (2)用中小规模集成电路组成电子钟,并在实验仪上进行组装、调试。 (3)画出各单元电路图、整机逻辑框图与逻辑电路图,写出设计、实验总结报告。 (4)选作部分:①闹钟系统。②日历系统。 3.数字电子钟基本原理及设计方法 数字电子钟得逻辑框图如图1411所示。它由振荡器、分频器、计数器、译码器、显示器、校时电路与整点报时电路组成。振荡器产生得脉冲信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器显示时间。有得数字电子钟还加有定时响铃、日历显示等其它功能,需增加相应得辅助电路。 图1411 数字电子钟得基本逻辑框图 (1)振荡分频电路 振荡器就是数字电子钟内部用来产生时间标准“秒”信号得电路。构成振荡器得电路很多,图1412(a)就是RC环形多谐振荡器,其振荡周期T≈2、2RC。作为时钟,最主要得就是走时准确,这就要求振荡器得频率稳定。要得到频率稳定得信号,需要采用石英晶体振荡器。石英晶体振荡器电路如图1412(b)所示,这种电路得振荡频率只取决于石英晶体本身得固有频率。 图1412 振荡器

(a)RC环形多谐振荡器 (b)石英晶体多谐振荡器 由于石英晶体振荡器产生得频率很高,要得到秒信号,需采用分频电路。例如,振荡器输出4 MHz信号,先经过4分频变成1 MHz,再经过6次10分频计数器,便可得到1Hz得方波信号作为秒脉冲。 (2)计数器 把秒脉冲信号送入秒计数器个位得CP输入端,经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位,以及“时”个位、十位得计时。“秒”、“分”计数器为60进制,“时”计数器为24进制。 24进制计数器如图1413所示。当“时”个位计数器输入端CP来到第10个触发脉冲时,该计数器归零,进位端Q D5向“时”十位计数器输出进位信号。当第24个“时”脉冲(来自“分”计数器输出得进位信号)到来时,十位计数器得状态为0010,个位计数器得状态位0100,此时“时”十位计数器得Q B6与“时”个位计数器得Q C5输出为1。两者相与后送到两计数器得清零端R0A与R0B,通过74LS90内部得R0A与R0B与非后清零,完成24进制计数。同理可构成60进制计数器。 CP 来自分计数器 的进位信号 图1413 24进制计数器 (3)译码显示电路 译码驱动器采用8421 BCD码七段译码驱动器74LS48,显示器采用共阴极数七段数码显示器,有关74LS48与七段显示器得使用方法前面已经作了介绍,这里不再赘述。 (4)校时电路 当数字电子钟出现走时误差时,需要对时间进行校准。实现校时电路得方法很多,如图1414所示电路即可作为时计数器或分计数器得校时电路。 图1414 校时电路 现设用该电路作为分计数器得校时电路,图中采用RS触发器作为无抖动开关。通过开关K得接入位置,可以选择就是将“1 Hz信号”还就是将“来自秒计数器得进位信号”送至分计数器得CP端。当开关K置于B端时,RS触发器得输出、,“来自秒计数器得进位信号”被送至分计数器得CP端,分计数器正常工作;需要校正分计数器时,将开关K置于A端,这时RS触发器得输出、,“1 Hz信号”被送至分计数器得CP端,分计数器在“1Hz信号”得作用下快速计数,直至正确得时间,再将开关K置于B端,达到了校准时间得目得。 (5)整点报时电路 电路得设计要求在差10 s为整点时开始每隔1 s鸣叫一次,每次持续时间为1 s,共鸣叫5次,前4次为低音500 Hz,最后一次为高音1 kHz。因为分计数器与秒计数器从59分51秒计数到59分59秒得过程中,只有秒个位计数器计数,分十位、分个位、秒十位计数器得状态不变,分别为Q D4Q C4Q B4Q A4=0101,Q D3Q C3Q B3Q A3=1001,Q D2Q C2Q B2Q A2=0101,所以Q C4=Q A4=Q D3=Q A3=Q C2=Q A2=1不变。设Y1=Q C4Q A4Q D3Q A3Q C2Q A2,又因为在51、53、55、57秒时Q A1=1,Q D1=0,输出500Hz信号f2;59秒时Q A1=1,Q D1=1,输出1kHz信号f1,由此可写出整点报时电路得逻辑表达式为:

相关文档
最新文档