相位差检测

相位差检测
相位差检测

目录

一、题目要求 ........................................................ 错误!未定义书签。

二、方案设计与论证 ............................................ 错误!未定义书签。

移相电路 ......................... 错误!未定义书签。

检测电路 ......................... 错误!未定义书签。

显示电路 ......................... 错误!未定义书签。

三、结构框图等设计步骤................. 错误!未定义书签。

设计流程图........................ 错误!未定义书签。

电路图 ........................... 错误!未定义书签。

移相电路图................... 错误!未定义书签。

检测电路图................... 错误!未定义书签。

显示电路图................... 错误!未定义书签。

四、仿真结果及相关分析................. 错误!未定义书签。

移相效果 ......................... 错误!未定义书签。

相位差波形........................ 错误!未定义书签。

相位差度数........................ 错误!未定义书签。

五、误差分析........................... 错误!未定义书签。

误差分析 ......................... 错误!未定义书签。

六、总结与体会......................... 错误!未定义书签。

七、参考文献........................... 错误!未定义书签。

八、附录............................... 错误!未定义书签。

元器件清单........................ 错误!未定义书签。

一、题目要求

设计一个相位差检测电路,该电路可测试一个经过移相电路的信号(正弦波)移相后与原信号间存在的相位差,可由测试电路检测并显示。要求:

1)设计移相电路;

2)设计检测电路,可以使用MCU或者Labview;

3)使用模拟式检测方法,将相位差信号转换成直流电压或者直流电流信号

进行检测;

4)要求分析系统最后的精度。

二、方案设计与论证

2.1移相电路

此次相位差检测电路的移相部分主要由RC移相电路构成,而RC移相电路主要利用了电容器的电流超前电压90度这一特性。

RC滞后移相电路是电阻器在前面,电容器在后面。输入信号从电阻器进入,输出信号是从电容器上输出。因为电容器要充电,所以电压要比电流滞后90度,等电容充满电后才有电压。输出电路是与电容器并联电压相等,所以输出电路的电压也滞后电流。RC超前移相电路是电容器在前面,电阻器在后面,电容器一样充电电压会滞后电流90度。

由于输入信号经过RC电路后,其幅值有一定的衰减,为了达到移相但不改变其幅值,我们在移相电路后追加了相应的放大器,以保证信号波形不变。

2.2检测电路

相位差的测量可以采用多种方法:一、将两个信号用模拟乘法器做乘法运算,得到的信号通过低通滤波器,将直流量分离出来,直流电压的大小反映了两个信

号的相位差。二、采用两个比较器对信号进行过零比较,然后测量出两个上升沿之间的时间间隔,用时间间隔除以周期再乘以360就可以得到相位差。一般高精度的相位差测量都是用第二种方法。还有一种就是定性地观察,将两个信号接到双踪示波器的输入,得到李萨如图形,通过图形的形状可以判断相位差大概是是什么程度。另外还可以将相位差转化直流电压或电流信号进行检测。

2.3 显示电路

目前广泛使用的是直读式数字相位计,其原理是基于时间间隔测量法,通过相位-时间转换器,将相位差为ψ的两个信号(分别称参考信号和被测信号)转换成一定的时间间隔τ的起始和停止脉冲。然后用电子计数器测量其时间间隔。如果让电子计数器的时钟脉冲频率倍乘36*10n (n 为正整数),则显示值即为以度为单位的相位差值,其简单原理如图所示。也可以用相位—频率转换器,把两信号之间的相位差变成频率,用电子计量器测量。此外可采用相位-电压转换器,把相位转换为电压,用电压表测量。

以上是时间间隔测量基本的原理,其间隔时间为 T 0N t =?

式中,N 是在t ψ时间内计数脉冲的个数;T 0是时标信号周期。

360?

?=T

t ?? 360f 360T N f T N 00???=?=? 式中,f 为被测信号频率,f 0为时标信号频率。

若让计数器在1s 内连续计数,即1s 内有f 个门控信号,则其累计数为N 1=f*N. f N f 3600?=?

f 360N 01fN ?==?,则N f 3601

0?

=? 若取时标频率f 0=360Hz ,则 )(?==?N N 3601

1360?

可见,计数器在1s内脉冲的累计数就是以度为单位的两个被测信号的相位差。

=3600Hz,则每个计数脉冲表示°,可以提高测量准确度。

若取f

三、结构框图等设计步骤

3.1设计流程图

3.2电路图

3.2.2检测电路图

四、仿真结果及相关分析

4.1移相效果

4.2相位差波形

4.3相位差度数

五、误差分析

5.1误差分析

相位差测量数字化的优点在于硬件成本低、适应性强、对于不同的测量对象只需要改变程序的算法,且精度一般优于模拟式测量。在电工仪表、同步检测的数据处理以及电工实验中,常常需要测量两列同频率信号之间的相位差。例如,电力系统中电网并网合闸时,需要求两电网的电信号的相位差。相位差测量的方法很多,典型的传统方法是通过示波器测量,这种方法误差较大,读数不方便。为此,我们设计了一种基于锁相环倍(分)频的相位差测量仪,该仪器以锁相环倍(分)频电路为核心,实现了工频信号相位差的自动测量及数字显示,误差相对于模拟测量方式比较小。

六、总结与体会

此次课程设计以实现用数字式方法通过数码管直接显示相位差为目的,做成的相位差检测仪。我们使用了两级RC移相器加一个运放器做成了移相电路,使用电容滤波的方法。然后采用74LS74D触发器将两个输入信号转化为方波信号,并通过函数信号发生器将相位差波形显示出来。接着使用74HC192作为计数器,捕获单元实现信号的数据采集,最后通过74HC373作为锁存器将相位差锁定。经过数据处理后通过数码管直接显示出来。该相位计测量相位差理论范围是0~180,数码管显示范围为0~999.此次设计最大的特点是将两路信号通过74LS74双稳态触发器转换成一路脉冲信号,而脉冲信号的宽度为信号的相位差,使得设计方案的精准度提高。

七、参考文献

[1]杨乐平.LabVIEW程序设计与应用[M].北京:电子工业出版社.2001.

[2]张永瑞.网络,信号与系统[M].西安:西安电子科技大学出版社.1996.

[3]田瑞利.虚拟数字示波器设计及应用[J].机电工程技术.(8):41-42.

[4]张乃国.电子测量技术,北京:高等教育出版社,1985

[5]杨吉祥.数据域测试技术及仪器.北京:科学出版社,1990

八、附录

8.1元器件清单

电阻100KΩ 4个

滑动变阻器(0~100 KΩ) 2个

电容10nF 2个

电源 5V

接地

函数信号发生器 1个

示波器 2个

放大器3288RT 2个

74LS74D触发器 2个

74LS192D计数器 3个

74HC373DW 4个

方波脉冲(360KHz) 1个

74LS04D非门 1个

数码管 3个

相位差检测电路

课程设计报告 课程电子测量与虚拟仪器 题目相位差检测电路 系别物理与电子工程学院 年级08级专业电子科学与技术 班级08电科(3)班学号0502083(02 14 23 24)学生姓名崔雪飞陈祥刘刚李从辉 指导教师徐健职称讲师 设计时间2011-4-25~2011-4-29

目录 第一章绪论 (2) 第二章题目及设计要求 (3) 2.1题目要求 (3) 2.2设计要求 (3) 第三章方案设计与论证 (4) 3.1移相电路设计 (4) 3.2检测电路设计 (4) 3.3显示电路设计 (5) 第四章结构框图等设计步骤 (6) 4.1设计流程图 (6) 4.2模块分析 (7) 4.2.1 移相电路 (7) 4.2.2 检测电路 (7) 4.2.3 显示电路 (8) 4.3结果显示 (9) 4.4总电路图 (11) 第五章误差分析 (12) 第六章总结体会 (13) 第七章参考文献 (14) 附录 (15)

第一章绪论 随着电子技术和计算机技术的发展,电子设计自动化(E-DA) 技术使得电子电路设计人员在计算机上能完成各种电路的设计,性能分析和有关参数的测试等大量的工作。Multi-sim2001是加拿大InteractiveImageTechnologies公司2001年推出的Multisim最新版本,是一个专门用于仿真与设计的工具软件,它丰富的元件库中提供数千种电路元件,随时可以调用;它提供了多种测试仪器仪表,可方便的对电路参数进行测试和分析。移相器在新一代移动通信、电子战、有源相控阵和智能天线等系统中获得广泛的应用。移相器在电子系统中的主要作用是调整系统接收 /发射时电路中的信号相位。本文将介绍用Multisim软件的部分集成电路和控制部件等各种元件来完成移相电路的设计和仿真。 使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 相位差的测量是研究网络相频特性中必不可少的重要方面,如何使相位差的测量快速、精确已成为生产科研中重要的研究课题。 测量相位差的方法很多,主要有:用示波器测量;把相位差转换为时间间隔,先测量出时间间隔,再换算为相位差;把相位差转换为电压,先测量出电压,再换算为相位差;与标准移相器进行比较的比较法(零示法)等。在测量相位差中主要有四种方法,即用示波器测量相位差、相位差转换为时间间隔进行测量、相位差转换为电压进行测量、零示法测量相位差。在此课程设计中主要用到的是相位差转换成计数脉冲数进行测量。

相位差检测

目录 一、题目要求 ........................................................ 错误!未定义书签。 二、方案设计与论证 ............................................ 错误!未定义书签。 移相电路 ......................... 错误!未定义书签。 检测电路 ......................... 错误!未定义书签。 显示电路 ......................... 错误!未定义书签。 三、结构框图等设计步骤................. 错误!未定义书签。 设计流程图........................ 错误!未定义书签。 电路图 ........................... 错误!未定义书签。 移相电路图................... 错误!未定义书签。 检测电路图................... 错误!未定义书签。 显示电路图................... 错误!未定义书签。 四、仿真结果及相关分析................. 错误!未定义书签。 移相效果 ......................... 错误!未定义书签。 相位差波形........................ 错误!未定义书签。 相位差度数........................ 错误!未定义书签。 五、误差分析........................... 错误!未定义书签。 误差分析 ......................... 错误!未定义书签。 六、总结与体会......................... 错误!未定义书签。 七、参考文献........................... 错误!未定义书签。 八、附录............................... 错误!未定义书签。 元器件清单........................ 错误!未定义书签。

测量电感及电容上电流和电压的相位差

测量电感及电容上电流和电压的相位差&测量电容上电流和电压 的相位差 上海中学高二(9)王晓欣、徐烨婷 指导教师杨新毅 实验目的:运用TI-83对电容电路进行实验,测量电容电路中电压与电流之间的相位差,了 解电容电感的性质。 实验原理 对于电阻R1,电流与电压成正比。电压v=Vsinωt,则i= Vsinωt /R。由于电阻R1mR1m1与电容串联,因此两者的电流相等。i= i= Vsinωt /R,电容的电流波形图与电阻的电压L1R1m1波形图的周期、初相位都相同,只在幅值上有所不同。因为只需观察电容的电流电压波形图 周期与初相位的关系,因此可以将电阻的电流波形图与电容的电压波形图进行对比,得出电 容的电压与电流的关系。 实验过程 1. 开机方法: ?用专用接线连接TI—83Plus和CBL。 ?按ON键打开TI—83Plus电源。

?按应用功能键APPS,进入Applications界面(见图1)。 图1 按数字键4选择Physics功能(见图2)。 图2 按ENTER回车键,进入主菜单(见图3)。 图3 2. 探头设定: ?将两个电压探头分别插入CH1,CH2两个插口中,打开CBL电源。 ?在Main Menu下按1选择SET UP PROBES,进入探头设定 菜单(见图4)。在NUMBER OF PROBES菜单中按2选择 图4 TWO。 在SELECT PROBE中按7选择MORE(见图5),再按3(见图6)将第一个探头选择为VOLTAGE。按ENTER 重复以上操作,将第二个探头也设为VOLTAGE。回到主菜 图5 单(见图7)。

图6 图7 3. 参数设定 在Main Menu下按2选择2:COLLECT DATA。在DATA COLLECTION中按2选择2:TIME GRAPH(见图8)。 图8 在ENTER TIME BETWEEN SAMPLES IN SECONDS:后输入时间间隔0.0005。在ENTER NUMBER OF SAMPLES:后输入取样个数100(见图9)。 图9 按ENTER对实验设置进行确认(见图10)。 图10 在CONTINUE中按1选择USE TIME SETUP,用以上设置图11 进行实验(见图11)。 4. 连接电路

流量计相位差检测方法

科氏质量流量计相位差检测新方法 郑德智 樊尚春 邢维巍 (北京航空航天大学仪器科学与光电工程学院 北京 100083) 摘要 基于科氏质量流量计的工作机理和实际工作情况下的信号频谱分析,提出了切实可行的相位差检测新方法。设计了改进的FI R数字滤波器,实现了对原始输出信号的实时滤波处理,有效地抑制了噪声的干扰,为科氏质量流量计的高精度测量提供了保证。同时该新方法提高了系统的动态品质。实验结果表明,所提出的方法和设计的信号处理系统具有实用价值。 关键词 科氏质量流量计 FI R滤波器 相位差检测 中图分类号 T H814+.6 文献标识码 A 学科分类与代码 460.4030 The Novel Method of Phase Difference Detection in Coriolis Mass Flowmeter Zheng Dezhi Fan Sha ngchun Xing Weiw ei (School of Instrumentation,Beihang University,Beijing100083,China) Abstract Based on the sensing mechanism of Co riolis mass flow meter and analyzing signal spect rum in actual w orki ng,the nov el applied method is devised fo r phase diff erence det ectio n.The improv ed FIR filt er i s designed and used for fil tering o rigi nal sig nals,so the noi se is rest rained ef fectiv ely and the measurement precision of the mass flowmeter is guaranteed.M eanwhile,the dynamic response perfo rmance of the syst em is improved by this novel method.The experimental resul ts showed that the method is well worthy applying. Key words Co riolis mass flow meter FIR filter Phase dif ference det ection 1 引 言 科里奥利质量流量计(以下简称为科氏质量流量计,即CM F)是一种利用被测流体在振动测量管内产生与质量流量成正比的科氏力为原理所制成的一种直接式质量流量仪表。CM F直接敏感被测流体的质量流量,同时可以检测流体的密度、体积流量,是一种应用广泛的新型多功能流量测量仪表。 图1中双U型管工作在谐振状态,流体在管中沿箭头方向流动。由于哥氏效应(Coriolis Effect)的作用,U型管产生关于中心对称轴的一阶扭转“副振动”。该一阶扭转“副振动”相当于U型管自身的二阶弯曲振动。同时,该“副振动”直接与所流过的“质量流量(kg/s)”成比例。因此,通过检测U型管的“合成振动”在B,B’两点的相位差就可以得到流体的质量流量[1~2] 。 图1 U型管质量流量计工作机理 质量流量和相位差的关系为: Q m k=K h B B(1)式中:Q m 为流过管子的质量流量(kg/s); 第26卷第5期 仪 器 仪 表 学 报 2005年5月本文于2003年9月收到,系国家自然科学基金(60274039)资助项目。

相位差测量中高精度相位差测量仪的重要性

相位差测量中高精度相位差测量仪的重要性 相位差,物理学概念。两个频率相同的交流电相位的差叫做相位差,或者叫做相差。这两个频率相同的交流电,可以是两个交流电流,可以是两个交流电压,可以是两个交流电动势,也可以是这三种量中的任何两个。一台高精度相位差测量仪对相位差的测量尤为重要。 相位差与相位的关系 (1)当j12>0时,称第一个正弦量比第二个正弦量的相位越前(或超前)j12; (2)当j12<0时,称第一个正弦量比第二个正弦量的相位滞后(或落后)|j12|; (3)当j12=0时,称第一个正弦量与第二个正弦量同相,如图7-1(a)所示; (4)当j12=±π或±180°时,称第一个正弦量与第二个正弦量反相; (5)当j12=±π/2或±90°时,称第一个正弦量与第二个正弦量正交。相位差示例 1.已知u= 311sin(314t- 30°) V,I= 5sin(314t+ 60°) A,则u与i 的相位差为jui= (-30°) - (+ 60°) = - 90°,即u比i滞后90°,或i 比u超前90°。 相位差的取值范围和初相一样,小于等于π(180°).对于超出范围的,同样可以用加减2Nπ来解决. 2.研究交流电路的相位差.如果电路含有电感和电容,对于纯电容电路电压相位滞后于电流(电压滞后电流多少度也可以表述成电流超前电压多少度),纯电感电路电流相位滞后于电压,滞后的相位值都为π的一半,或者说90°.在计算电路电流有效值时,电容电流超前90,电感落后90,可用矢量正交分解加合. 加在晶体管放大器基极上的交流电压和从集电极输出的交流电压,这两者的相位差正好等于180°.这种情况叫做反相位,或者叫做反相. 正弦量正交(90°)和反相(180°)都是特殊的相位差. 目前,国内相位差测量仪生产厂家或研究单位明显存在着技术老化问题,其采用的器件、方法和技术与技术先进国家有较大的的差距。而最近发展的先进的

基于集成运放的相位差检测电路设计

课程设计名称:电子技术课程设计 题目:基于集成运放的相位差检测 电路设计 学期:2016-2017学年第2学期 专业: 班级: 姓名: 学号: 指导教师: 辽宁工程技术大学

课程设计成绩评定表

摘要 本课程设计主要要求是设计一个基于集成运放的相位差检测电路。整流滤波电路是提供直流电源的。首先,要把信号源进行移相,用到RC移相电路,配合上集成运放,然后同时把移相之前的信号源和移相之后的信号源给两个过零比较器,结果输出的不是高电平就是低电平,完成了对模拟信号转化成数字信号的任务。他们先异或,接着通过和一个来自555定时器的信号进行与逻辑,然后给在和计数器的clk端进行与逻辑,完成对周期长度和计时器的控制,达到采样的目的,最后数码管显示相位差。完成了相位差检测的功能。

目录 1、综述 2、原理及技术指标 3、单元电路设计及参数计算 3.1整流滤波电路 3.2 RC移相电路 3.3 555定时器电路 3.4计数器显示部分 3.5 参数计算 4、仿真 5、设计比较 6、结论 7、设计体会 参考文献

1 综述 振幅、频率和相位是描述正弦交流电的三个“要素”。以电压为例,其函数关系为 u=Umsin(ωt+φ0) 式中:U m 为电压的振幅;ω为角频率;φ0为初相位。 设φ=ωt+φ0,称为瞬时相位,它随时间改变,φ0是t=0时刻的瞬时相位值。两个角频率为ω1,ω2的正弦电压分别为 u 1=U m1sin(ω1t +φ1) u 2=U m2sin(ω2t +φ2) 它们的瞬时相位差为 Θ=(ω1t +φ1)- (ω2t +φ2) =(ω1-ω2)t+(φ1-φ2) 显然,两个角频率不相等的正弦电压(或者电流)之间的瞬时相位差是时间t的函数,它随时间改变而改变。当两正弦电压的角频率ω1=ω2=ω时,有 Θ=φ1-φ2 由此可见,两个频率相同的正弦量间的相位差是常数,等于两正弦量的初相位之差。在实际的工作之中,经常需要研究诸如放大器、滤波器等各种器件的频率特性,即输出、输入信号间的幅度比随频率的变化(幅频特性)和输出、输入信号间的相位差随频率的变化关系(相频特性)。尤其在图像信号传输与处理、多元信号的相干特性显得更为重要。 相位差的测量是研究网络相频特性中必不可少的重要方面,如何使相位差的测量快速、精确已成为生产科研中重要的研究课题。 测量相位差的方法很多,主要有:用示波器测量;把相位差转换为时间间隔,先测量出时间间隔,再换算为相位差;把相位差转换为电压,先测量出电压,再换算为相位差;与标准移相器进行比较的比较法(零示法)等。在测量相位差中主要有四种方法,即用示波器测量相位差、相位差转换为时间间隔进行测量、相位差转换为电压进行测量、零示法测量相位差。

相位差检测

课程设计报告 课程电子测量与虚拟仪器课程设计 题目相位差检测电路 系别物理与电子工程学院 年级2008 专业电子科学与技术班级 2 学号 学生姓名 指导教师职称讲师 设计时间2011-3-28~2011-4-1

第一章绪论 (2) 1.1 相位差检测电路的介绍 (2) 1.2 相位差测量的简单介绍 (2) 第二章相位差检测电路 (3) 2.1 移相电路的设计 (3) 2.2 利用MULTISIM设计检测移相电路 (5) 2.2.1 仿真电路虚拟仪器参数调整 (6) 2.2.2移相电路的仿真与分析 (7) 2.3将相位差信号转换成直流电压信号检测 (9) 2.3.1将相位差信号转换成直流电压信号检测的原理 (9) 2.3.2 电路图及具体原理分析 (9) 2.3.3 仿真过程 (10) 2.3.4 系统测量的误差分析 (12) 主要参考文献 (13) 附录 (13)

第一章绪论 1.1 相位差检测电路的介绍 设计一个相位差检测电路,该电路可测试一个经过移相电路的信号(正弦波)移相后与原信号间存在的相位差,可由测试电路检测并显示。要求:设计移相电路;设计检测电路,可以使用MCU或者Labview;使用模拟式检测方法,将相位差信号转换成直流电压或者直流电流信号进行检测;要求分析系统最后的精度。 在此次的电子测量与虚拟仪器课程设计中,我们设计的相位差检测电路主要有两个模块,由这两个模块来实现对相位差的检测并用相应的器件来实现。第一个模块为移相电路,移相电路主要由两个放大器组成。一个放大器可以实现对输入信号进行0~900的移相,那么两个放大器可以实现对输入信号进行0~1800的移相。移相电路的结构比较简单,只要对放大器相应知识进行了解便能很快的设计出移相电路。在移相电路中还应用到了变位器和电容。通过调节变位器可以逐步实现每个度数的相位差;电容的作用则是实现对输入信号的滤波和使放大器工作在稳定的区域。第二个模块则是实现相位差的显示。此部分的模块主要由二极管、异或门以及放大器组成。二极管的作用是使信号工作在正负管压降之间,使电路快速的运行和工作。异或门有三个,异或门的作用主要是实现将信号与基准信号进行比较,将相位差转换成电压差的方法,然后通过电压表将电压显示,最后将电压放大一百倍即使所求的相位差。 1.2 相位差测量的简单介绍 振幅、频率和相位是描述正弦交流电的三个“要素”。以电压为例,其函数关系为 u=U m sin(ωt+φ0) 式中:U m 为电压的振幅;ω为角频率;φ0为初相位。 设φ=ωt+φ0,称为瞬时相位,它随时间改变,φ0是t=0时刻的瞬时相位值。两个角频率为ω1,ω2的正弦电压分别为

相位差检测

目录 一、题目要求 (2) 二、方案设计与论证 (2) 2.1移相电路 (2) 2.2检测电路 (2) 2.3显示电路 (3) 三、结构框图等设计步骤 (4) 3.1设计流程图 (4) 3.2电路图 (5) 3.2.1移相电路图 (6) 3.2.2检测电路图 (6) 3.2.3显示电路图 (7) 四、仿真结果及相关分析 (8) 4.1移相效果 (8) 4.2相位差波形 (8) 4.3相位差度数 (8) 五、误差分析 (9) 5.1误差分析 (9) 六、总结与体会 (9) 七、参考文献 (10) 八、附录 (10) 8.1元器件清单 (10)

一、题目要求 设计一个相位差检测电路,该电路可测试一个经过移相电路的信号(正弦波)移相后与原信号间存在的相位差,可由测试电路检测并显示。要求: 1)设计移相电路; 2)设计检测电路,可以使用MCU或者Labview; 3)使用模拟式检测方法,将相位差信号转换成直流电压或者直流电流信号 进行检测; 4)要求分析系统最后的精度。 二、方案设计与论证 2.1移相电路 此次相位差检测电路的移相部分主要由RC移相电路构成,而RC移相电路主要利用了电容器的电流超前电压90度这一特性。 RC滞后移相电路是电阻器在前面,电容器在后面。输入信号从电阻器进入,输出信号是从电容器上输出。因为电容器要充电,所以电压要比电流滞后90度,等电容充满电后才有电压。输出电路是与电容器并联电压相等,所以输出电路的电压也滞后电流。RC超前移相电路是电容器在前面,电阻器在后面,电容器一样充电电压会滞后电流90度。 由于输入信号经过RC电路后,其幅值有一定的衰减,为了达到移相但不改变其幅值,我们在移相电路后追加了相应的放大器,以保证信号波形不变。 2.2检测电路 相位差的测量可以采用多种方法:一、将两个信号用模拟乘法器做乘法运算,得到的信号通过低通滤波器,将直流量分离出来,直流电压的大小反映了两个信号的相位差。二、采用两个比较器对信号进行过零比较,然后测量出两个上升沿之间的时间间隔,用时间间隔除以周期再乘以360就可以得到相位差。一般高

数字相位差测量仪的设计

目录 1.设计任务书。 2.设计方案概述。 3.V/f变换测量相位差角的工作原理。 4.电路的组成及参数选择。 4.1整形电路及信号C的形成。 4.2滤波电路的参任务计划书。 4.3V/f变换电路的设计。 4.4 89C52内部资源的利用。 5.应用实例。 6.结论。 7.总结。 一、设计任务书 (一)任务 设计仿真一数字相位计 (二)主要技术指标与要求: (1)输入信号频率为0HZ~250HZ可调 (2)输入信号的幅度为0.5V (3)采用数码管显示结果,相位精确到0.1° (4)采用外部5V直流电源供电 (三)对课程设计的成果的要求(包括图表) 设计电路,安装调试或仿真,分析实验结果,并写出设计说明书。要求图纸布局合理,符合工程要求,所有的器件的选择要有计算依据。 二、设计方案概述 根椐设计任务书的要求,我们参考了一些相关资料书,经过小组的讨论分析,提出了一种用v/f变换测量交流电的相位差的新方法:首先产生出其幅度正比与相位差大小的直流电,再有v/f变换器转换成反映相位差大小的频率信号,在单片机的配合下,最终得到相位差。这种方法具有分辨率高,适应与大范围的各种输入频率等优点。 正弦交流电电信号相位差的测量可以用多种方法实现。比较直接的数字式测量方法是在已知信号周期的前提下用定时的方法测得相位差角对应的时间,然后根据已知的周期将其换算成相位差角度。但

是,这种方法的测量精度依赖于定时器的精度和分辨率。在信号频率较高或频率虽不高但相位差较小时,都可以出现较大的误差。另外,由于直接测量得到的是时间,相位差角要由这一中间结果与信号的周期运算后才能得到,所以周期的测量不可缺少,其测量的精度也将影响相位差的精度。 在此用一种新的思路进行相位差的测量,用v/f变换器把相位差转换成一个其频率与之成正比的脉冲列,通过计算在一定时间内的脉冲个数测量相位差角。这种测量方法与信号的周期无关,可以得到较高的精度。题达到了0.1的测量精度,与此同时工业运行控制中现场操作,修改和设置等问题也得到了很好的解决,以上这些都在工业运行中得到了厂方的认可。存在的问题主要是本仪器通用性很不强,很难在更大的范围应用和推广,只能运用与某些特定的企业。今后的工作主要硬件和软件的改进上,列入增加一些通用行很强的功能模块。 3.V/f变换测量相位差角的工作原理 首先将输入的两个同频率但存在着相位差的信号进行整形,使之变成方波。如图1示A和B 再对A,B进行异或处理, 异或输出信号C 的脉冲宽度则反映相位差角.C 的脉宽T1对应的电角度是相位差角,C 的周期T2 是信号周期T 的1/2.如果信号角频率为w 则T1= /w. C为幅值为U 的方波其平均值Ud=UT1/T2=U 由此可见,C 的平均值( 亦即直流分量)仅与相位差角和脉冲幅 度有关与信号周期无关

相位差测量电路设计

本科毕业设计 ( 2015届) 题目:相位差测量电路的设计 学院:机电工程学院 专业:自动化 学生姓名:学号: 指导教师:职称(学位):讲师 合作导师:职称(学位):完成时间:2015 年 5 月 28日 成绩: 黄山学院教务处制

原创性声明 兹呈交的设计作品,是本人在指导老师指导下独立完成的成果。本人在设计中参考的其他个人或集体的成果,均在设计作品文字说明中以明确方式标明。本人依法享有和承担由此设计作品而产生的权利和责任。 声明人(签名): 年月日

目录 摘要.................................................................................................错误!未定义书签。英文摘要.?错误!未定义书签。 1 绪论?1 1.1研究背景及意义?错误!未定义书签。 1.2 发展现状和发展趋势?错误!未定义书签。 1.2.1国外发展状况........................................................错误!未定义书签。 1.2.2 国内发展状况?错误!未定义书签。 1.2.3 发展趋势........................................................................错误!未定义书签。 2 相位差测量的基本原理.............................................................错误!未定义书签。 2.1 相位的基本概念.............................................................错误!未定义书签。 2.2相位差测量原理?错误!未定义书签。 2.3 电路设计原理...................................................................错误!未定义书签。3设计与分析.. (6) 3.1 移相电路...........................................................................错误!未定义书签。 3.1.1 方案分析?错误!未定义书签。 3.1.2 移相电路设计................................................................错误!未定义书签。 3.2 检测电路?错误!未定义书签。 3.2.1 方案分析?错误!未定义书签。 3.2.2 检测电路设计?错误!未定义书签。 3.2.3 LM339特性分析?错误!未定义书签。 3.2.4 双稳态触发器?错误!未定义书签。 3.3 计数显示电路................................................................错误!未定义书签。 3.3.1 方案分析?错误!未定义书签。 3.3.2 计数显示电路设计......................................................错误!未定义书签。 3.3.3 数码管工作原理?错误!未定义书签。 4 仿真与调试?错误!未定义书签。 5实验分析...................................................................................错误!未定义书签。总结?错误!未定义书签。 参考文献?错误!未定义书签。 致谢?错误!未定义书签。 附录?错误!未定义书签。

基于单片机的相位差在线检测

引言: 在实际工作中, 经常会遇到需要检测两个信号的相位差, 这也是研究网络相频特性中不可缺少的重要方面。传统的测量方法有很多, 如示波器测量法、转化为时间间隔法、零示法等。随着集成电路技术的发展、单片机的普及, 用单片机组成的相位差测量电路具有精度高、速度快、成本低的优点, 日益受到人们的重视。本相位差测量方法充分利用MCS -51 系列单片机内部精确的时钟源及校正电路, 能进行在线检测、显示,大大提高了相位差的测试精度和速度。 设计目的:使用单片机组建相位差检测系统, 利用单片机内部时钟及定时/ 计数器, 能够对被测信号的相位差进行精确、快速的测定, 可广泛应用于各种实时系统之中。 1. 系统功能的确定 基本要求: ⑴ 能够快速准确的测量出相位差; ⑵ 精度较高; ⑶ 抗干扰能力强,不受被测信号幅值,频率的影响; ⑷ 稳定性较高。 2. 方案论证 2.1方案一 基于异或门的测量方法 两路同频信号经过零比较后,得到两路同周期的方波。该两方波经异或后得到的脉冲宽度与信号周期的比值(占空比)即对应为两信号的相位差,这里的异或门相当于鉴相器。对脉宽信号的处理有以下两种方法。<1> 电压测量法;<2>数字计数法。 2.2方案二 基于函数计算的测量方法 我们先从数学理论上计算相位差,假设信号A 为)cos(1αω+=t A S A ,信号B 为 )cos(2αω+=t B S B 。),其中A,B 分别为信号A 和信号B 的幅度, ω为角频率,1α,2α为信号A 和信号B 的初相位,两信号相乘可得 [])cos()2cos(2 )cos()cos(212121ααααωαωαω-+++= ++=t AB t t AB S S B A 式中21ααα-=为所求相位差。把乘法运算结果中的二次谐波滤除,只剩下直流分量,设结果为M,可得到 )2arccos( 21AB M =-=ααα 此式是从理论上分析求出的相位差,而实际上输入的信号A 和信号B 都是带有各种谐波干扰的。这些干扰信号经过乘法运算之后会部分叠加到所求的直流分量上,因此在信号进行乘法运算之前和之后都要对两路信号进行数字滤波。由于滤波器参数可以根据用户对滤波器性能的要求任意设计,参数精度可得到严格保证,也没有因元件老化而影响精度的问题,

基于单片机的相位差在线检测

引言: 在实际工作中,常常会遇到两列频率相同信号之间存在的相位差,那么就需要测量它们之间的相位差。电力系统中的电网并网合闸时,需要两电网的电信号的相位相同,这时需要精确测量两列工频信号之间的相位差,相位差测量在工业自动化,智能控制、通讯及电子技术等许多领域有着广泛的应用。随着计算机软硬件的日益发展。在测试系统中,以数字信号处理为核心的软件法测量技术越来越多的得到广泛的用。在电工仪表、同步检测的数据处理以及电工实验中,常常需要测量两列同频信号的相位差。相位测量的方法很多,典型的传统方法是通过显示器观测,这种方法误差较大,读数不方便。为此,我们设计一种数字式工频电压相位差测量仪。

一. 系统功能的确定及概念 1.1基本要求: ⑴ 能够快速准确的测量出相位差; ⑵ 精度较高; ⑶ 抗干扰能力强,不受被测信号幅值,频率的影响; ⑷ 稳定性较高。 1.2 相位和相位差的概念 相位和相位差是正相交流电的重要概念和技术参数。但是相位也不只是正弦信号的“专利”,非正弦周期信号同样具有相位,因为任何一个非正弦周期信号均可以被分解为一系列频率与初相不同的正弦信号。 相位说明谐波振荡在某一瞬时的状态。在数学上定义为正弦或余弦函数的幅角,其数学表达式为:)sin()(?ω+=t A t v 式中, ?是初始角,?ω+t 就是相位角,通常称为相位。 ?ω?+=t t )( 从式中可以看出相位是时间t的线性函数。令?1(t)、?2(t)表示角频率为1ω2ω 的两个简谐振荡的相位,则有: )()()()()()(21212121??ω??ωωφφφ-+=-+-=-=t t t t t 从式中可以看出相位角是时间t的函数。若ω1=ω2,即两个同频率的信号,则有: 21)(??φ-=t 显而易见,两个同频率的相位差为常数,由初始相位角之差确定。相位差反映了若干个正弦量之间的相位关系。同频正弦量的相位关系是:超前、滞后、同相、反相、正交。 当A 、B 两个频率相同的正弦信号电压波形同时增大,同时减小,同时为正半周,同时为负半周,同时达到正峰点,同时达到负峰点,这样的两种信号其相位相同,信号的相位差0 o ,称为同相信号。 当一个信号达到最大值时(正峰点),另一个达到最小值(负峰点),一个为正半周,一个为负半周,一个在增大时,另一个在减小,这样的两种信号其相位反相,信号的相位差180 o ,称为反相信号。 当信号A 达到最大值时,信号B 才为零,当信号A 为零时,信号B 为最大值,两个信

实验二 相位差测量

实验二相位差测量 一、实验目的 1、掌握将相位差转换为电压的原理。 2、掌握脉冲电压的脉宽与电压平均值成正比的原理。 3、掌握磁电系仪表的基本读数是电流(电压)的平均值。 4、了解硬件电路的设计方法和基本的硬件调试方法。 二、实验类型 综合型 三、实验仪器 四、实验原理 1、原理图

Y 图1 相位表原理图 2、 电路原理 此电路可以检测-180--+180的相位差。两路输入信号Y1、Y2经整形后成为两路与原信号同频率同相位的方波。方波送入异或门CD4070,CD4070的输出是电压脉冲,脉冲宽度与输入信号的相位差绝对值成正比。用磁电式仪表测CD4070的输出电压(根据磁电系仪表的原理,测量值为电压的平均值),测量值Uo 与脉冲宽度成线性关系。因此可得Uo 与输入信号的相位差绝对值成正比。输入信号的超前、滞后由LED 显示,当Y1超前Y2时,LED1亮;当Y1滞后Y2时,LED2亮。 3、 相位差与时间差的关系 360?=T Δt φ 4、 脉冲电压的平均值与脉宽成正比 T U a dt U T dt u T U H a H T av ===??0 011 其中:a ——脉宽。T ——脉冲电压周期。U H ——脉冲电压高电平。 5、 磁电系电压表的读数是电压的平均值。 五、实验内容和要求 1、内容和要求

搭出相位差测量电路,并在具体电路上验证,调系数。具体要求:掌握基本的硬件插接技术,布线必须正确、接触良好,其次要求布线合理、清晰、美观。 2、测量数据 构造如图2所示的电路,信号发生器产生频率为100Hz 的正弦波,调节RC 参数可以改变Y1(电源电压)与Y2(电阻电压,与回路电流同相位)之间的相位差,记为0j 。Y1和Y2作为相位表的输入信号,用磁电系电压表(或万用表测量)测量相位表的输出电压,当输出电压测出后,脉宽a 就可以算出来,a 算出来后,相位差也就可以算出来,记为1j ,比较0j 和1j 。记录发光二极管(LED )的状态,用以确定两路正弦信号的相位关系(超前/滞后)。 表1 阻抗角测量记录表格 Vcc 图2 用相位表测量阻抗角 3、硬件调试方法 制作硬件时,若输出电压值或LED 的状态不正确,需调试硬件电路,找出错误并改正。建议采用以下方法调试硬件: (1)用电压表测量各点电压,或者用示波器观察各点波形。

相位差检测电路

课程设计任务书 2011/2012 学年第一学期 学院:信息与通信工程学院 专业:电子信息工程 学生姓名:学号: 课程设计题目:相位差检测电路 起迄日期:2011年12月18 日~2012年1月7 日课程设计地点:中北大学 指导教师:郝利华韩建宁 系主任:王浩全 下达任务书日期: 2011 年12 月 18 日

1.设计目的: 通过本课程设计, 主要训练和培养学生综合应用所学过的电路、低频、数字、高频等课程的相关知识,设计实用的电子电路方面的实际电路,包括:查阅资料、合理性的设计、分析和解决实际问题的能力,电路设计工具PROTEL或MULTISIM的学习与应用,应用计算机的能力,用简洁的文字,清晰的图表来表达自己设计思想的能力。2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等):(1)学习和练习电路设计PROTEL或MULTISIM软件, (2)本电路可以检测电力网的电流和电压,电路包括:比较器,过零检测电路,异或门,低通滤波器,A/D转换器等, (3)设计的电路在在液晶显示器上显示结果,过零检测器的灵敏度在10mA。 3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、实物样品等〕: (1)画出电路图,并进行原理图的详细叙述, (2)给出元器件的型号和数值, (3)在软件环境下进行调试仿真, (4)写出符合格式要求的设计报告。

4.主要参考文献: 1.阎石.数字电子技术基础.北京:高等教育出版社,1998 2.毕满清.电子技术实验与课程设计.第3版.北京:机械工业出版社,2005 3.陈汝全.电子技术常用器件应用手册.北京:机械工业出版社,2004 4.王远.模拟电子技术.北京:机械工业出版社,2000.10 5.吕广平.集成电路应用500例.北京:人民邮电出版社,1983 其他参考书请自行添加 5.设计成果形式及要求: 设计说明书及相关电路图 6.工作计划及进度: 2011年 12月 18日~ 12月 22日资料调研 12月 23日~ 12月 25 日方案论证,进行详细设计,电路仿真, 12月 26日~ 1月 28 日分析仿真结果 12月 29日~ 12月 30 日完成设计总结报告(附完整电路图) 12月 30日~答辩或成绩考核 系主任审查意见: 签字: 年月日

测量相位差的主要方法

一二测量相位差的方法主要有哪些? 测量相位差可以用示波器测量,也可以把相位差转换为时间间隔,先测量出时间间隔,再换算为相位差,可以把相位差转换为电压,先测量出电压,再换算为相位差,还可以与标准移相器进行比较的比较法(零示法)等方法。 怎么用示波器来测量相位差? 应用示波器测量两个同频正弦电压之间的相位差的方法很多,本节介绍具有实用意义的直接比较法。将u1、u2分别接到双踪示波器的Y1通道和Y2通道,适当调节扫描旋钮和Y增益旋钮,使荧光屏显示出如图2.42所示的上、下对称的波形。 比较法测量相位差 设u1过零点分别为A、C点,对应的时间为t A、t C;u2过零点分别为B、D点,对应的时间为t B、t D。正弦信号变化一周是360°,u1过零点A比u2过零点B提前t B-t A出现,所以u1超前u2的相位。 u1超前u2的相位,即u1与u2的相位差为 (2.56) T为两同频正弦波的周期; ΔT为两正弦波过零点的时间差。 数字式相位计的结构与工作原理是什么?

三数字相位计框图 将待测信号u1(t)和u2(t)经脉冲形成电路变换为尖脉冲信号,去控制双稳态触发电路产生宽度等于ΔT的闸门信号以控制时间闸门的启、闭。晶振产生的频率为fc的正弦信号,经脉冲形成电路变换成频率为fc的窄脉冲。 在时间闸门开启时通过闸门加到计数器, 得计数值n,再经译码,显示出被测两信号的相位差。这种相位计可以测量两个信号的“瞬时”相位差,测量迅速,读数直观、清晰。 数字式相位计称做“瞬时”相位计,它可以测量两个同频正弦信号的瞬时相位,即它可以测出两同频正弦信号每一周期的相位差。 基于相位差转换为电压方法的模拟电表指示的相位计的测量原理是什么? 如图2.44所示,利用非线性器件把被测信号的相位差转换为电压或电流的增量,在电压表或电流表表盘上刻上相位刻度,由电表指示可直读被测信号的相位差。转换电路常称做检相器或鉴相器。常用的鉴相器有差接式相位检波电路和平衡式相位检波电路两种。 数字相位计框 图

数字式相位差测量仪说明书

目录 绪论 (1) 摘要 (2) 1 结构设计与方案选择 (3) 1.1 基于过零检测法的数字式相位差测量仪方法概述 (4) 1.1.1 相位-电压法 (4) 1.1.2 相位-时间法 (5) 1.2 方案的比较与选择 (6) 2 相位-时间法单元电路的原理分析与实现方法 (6) 2.1 前置电路设计与分析 (6) 2.1.1 放大整形电路的分析与实现 (6) 2.1.2 锁相倍频电路的分析与实现 (7) 2.2 计数器及数显部分的设计与分析 (9) 2.2.1 计数器部分的分析与实现 (9) 2.2.2 译码显示部分的分析与实现 (10) 3 结论 (12) 4 参考文献 (13) 附录1:元器件名细表 (14) 附录2:相位时间法总体电路原理图 (15) 附录3:相位时间法总体电路PCB板 (16) 附录4:相位时间法总体电路PCB板3D视图 (17)

随着科学技术突飞猛进的发展,电子技术广泛的应用于工业、农业、交通运输、航空航天、国防建设等国民经济的诸多领域中,而电子测量技术又是电子技术中进行信息检测的重要手段,在现代科学技术中占有举足轻重的作用和地位。数字相位差测试仪在工业领域中是经常用到的一般测量工具,比如在电力系统中电网并网合闸时,需要两电网的电信号相同,这就需要精确的测量两工频信号之间的相位差。更有测量两列同频信号的相位差在研究网络、系统的频率特性中具备重要意义。相位测量的方法很多,典型的传统方法是通过显示器观测,这种方法误差较大,读数不方便。为此,我们设计了一种数字相位差测量仪,实现了两列信号相位差的自动测量及数显。近年来,随着科学技术的迅速发展,很多测量仪逐渐向“智能仪器”和“自动测试系统”发展,这使得仪器的使用比较简单,功能越来越多。 本低频数字相位测量仪主要是测量电压和电流的相位差,由整形放大电路、基本门电路、锁相倍频、计数译码等集成电路构成。测量的分辨率可达到0.1°,可测信号的频率范围为0Hz~250Hz,幅度为0.5Ⅴ,由于74HC4046的性能比较好,使得所制得的仪器精度相对较高,达到了任务书中所规定的要求。

90度相位差基准电路

课程设计任务 学生姓名: 课程设计地点: 指导教师: 郝利华韩建宁 系 主 任: F 达任务书日期:2011 年 12月18 日 北大学 2011/2012 学年第 学期 院: 业: 信息与通信工程学院 电子信息工程 课程设计题目: 90°相位差基准电路 起迄日期: 2011年12月18日?2012年1月7日 学号: 中北大学 王浩全

课程设计任务 1 .设计目的: 通过本课程设计,主要训练和培养学生综合应用所学过的电路、低频、数字、高频 等课程的相关知识,设计实用的电子电路方面的实际电路,包括:查阅资料、合理性的 设计、分析和解决实际问题的能力,电路设计工具PROTEL或MULTISIM的学习与应 用,应用计算机的能力,用简洁的文字,清晰的图表来表达自己设计思想的能力。 2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等) : I?■■??■???^^ ??■■?■?^^ ■??■■??■???■■?■?^^ ■??■■??■???^^ ??■■?■?^^ ■??■■??■???^^ ??■■?■?^^ ■??■■??■???■■?■?^^ ■??■■??■???■■?■?^^ ■??■■??■???■■?■?■??■■??■???■■?■?■??■■??■???■■?■?■??■ (1)学习和练习电路设计PROTEL或MULTISIM软件, (2)设计正选输入信号的90°相位差电路;包括:过零检测电路,三角波变换电路, 方波产生电 路,900延迟电路等, (3) 输入正弦信号的频率范围为100HZ—100KHZ。 3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、实物样品等〕: P ■■■ vrBBH-r ■?■▼「■?¥▼■"〒■■■ vrBBW-r ■?■▼「■?▼▼■”〒■■■ vrBBH-r ■? ■▼「■?▼▼■”〒■■■ vrBBH-r ■?■▼「■?▼▼■”〒■■■ vrBBH-r ■?■▼「■?¥▼■?■■〒■■■ vrBBH-r ■?■▼「■?¥▼■?■■〒■■■ vrBBH-r ■?■▼「■?¥▼■?■■〒■■■ vrBBH-r ■?■▼「■?¥▼■?■■〒■■■ vrBBH-r ■?■▼「■?▼▼■??〒■■■ vrBBH-r BI (1)画出电路图,并进行原理图的详细叙述, (2)给出元器件的型号和数值, (3)进行电路仿真,

相关文档
最新文档