福州大学微电子卓越班数字集成电路课程设计报告

福州大学微电子卓越班数字集成电路课程设计报告
福州大学微电子卓越班数字集成电路课程设计报告

集成电路课程设计报告

课程设计 班级: 姓名: 学号: 成绩: 电子与信息工程学院 电子科学系

CMOS二输入与非门的设计 一、概要 随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本论文讲的是数字集成电路版图设计的基本知识。然而在数字集成电路中CMOS与非门的制作是非常重要的。 二、CMOS二输入与非门的设计准备工作 1.CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路

2.计算相关参数 所谓与非门的等效反相器设计,实际上就是根据晶体管的串并联关系,再根据等效反相器中的相应晶体管的尺寸,直接获得与非门中各晶体管的尺寸的设计方法。具体方法是:将与非门中的VT3和VT4的串联结构等效为反相器中的NMOS 晶体管,将并联的VT 1、VT 2等效PMOS 的宽长比(W/L)n 和(W/L)p 以后,考虑到VT3和VT4是串联结构,为保持下降时间不变,VT 3和VT 4的等线电阻必须减小为一半,即他们的宽长比必须为反相器中的NMOS 的宽长比增加一倍,由此得到(W/L)VT3,VT4=2(W/L)N 。 因为考虑到二输入与非门的输入端IN A 和IN B 只要有一个为低电平,与非门输出就为高电平的实际情况,为保证在这种情况下仍能获得所需的上升时间,要求VT 1和VT 2的宽长比与反相其中的PMOS 相同,即(W/L)VT1,VT2=(W/L)P 。至此,根据得到的等效反向器的晶体管尺寸,就可以直接获得与非门中各晶体管的尺寸。 如下图所示为t PHL 和t PLH ,分别为从高到低和从低到高的传输延时,通过反相器的输入和输出电压波形如图所示。给其一个阶跃输入,并在电压值50%这一点测量传输延迟时间,为了使延迟时间的计算简单,假设反相器可以等效成一个有效的导通电阻R eff ,所驱动的负载电容是C L 。 图2 反相器尺寸确定中的简单时序模型 对于上升和下降的情况,50%的电都发生在: L eff C R 69.0=τ 这两个Reff 的值分别定义成上拉和下拉情况的平均导通电阻。如果测量t PHL 和t PLH ,可以提取相等的导通电阻。 由于不知道确定的t PHL 和t PLH ,所以与非门中的NMOS 宽长比取L-Edit 软件中设计规则文件MOSIS/ORBIT 2.0U SCNA Design Rules 的最小宽长比及最小长度值。 3.分析电路性质 根据数字电路知识可得二输入与非门输出AB F =。使用W-Edit 对电路进行仿真后得到的结果如图4和图5所示。

福州大学集成电路应用实验一

《集成电路应用》课程实验实验一 4053门电路综合实验 学院:物理与信息工程学院 专业: 电子信息工程 年级: 2015级 姓名:张桢 学号: 指导老师:许志猛

实验一 4053门电路综合实验 一、实验目的: 1.掌握当前广泛使用的74/HC/HCT系列CMOS集成电路、包括门电路、反相 器、施密特触发器与非门等电路在振荡、整形、逻辑等方向的应用。 2.掌握4053的逻辑功能,并学会如何用4053设计门电路。 3.掌握多谐振荡器的设计原理,设计和实现一个多谐振荡器,学会选取和 计算元件参数。 二、元件和仪器: 1.CD4053三2通道数字控制模拟开关 2.万用表 3.示波器 4.电阻、电容 三、实验原理: 1.CD4053三2通道数字控制模拟开关 CD4053是三2通道数字控制模拟开关,有三个独立的数字控制输入端A、B、C和INH输入,具有低导通阻抗和低的截止漏电流。幅值为4.5~20V的数字信号可控制峰-峰值至20V的数字信号。CD4053的管脚图和功能表如下所示 4053引脚图

4053的8种逻辑功能 CD4053真值表 根据CD4053的逻辑功能,可以由CD4053由4053电路构成如下图所示8种逻辑门(反相器与非门或非门、反相器、三态门、RS 触发器、——RS 触发器、异或门等)。 输入状态 接通通道

]) 2)(()(ln[ T DD T DD T DD T V V V V V V V RC T -+--=2.多谐振荡器的设计 非门作为一个开关倒相器件,可用以构成各种脉冲波形的产生电路。电路的基本工作原理是利用电容器的充放电,当输入电压达到与非门的阈值电压VT 时,门的输出状态即发生变化。因此,电路输出的脉冲波形参数直接取决于电路中阻容元件的数值。 可以利用反相器设计出如下图所示的多谐振荡器 这样的多谐振荡器输出的信号周期计算公式为: 当R S ≈2R 时,若:VT=0.5VDD ,对于HC 和HCU 型器件,有 T ≈2.2RC 对于HCT 型器件,有 T ≈2.4RC 四、实验内容: 1. 验证CD4053的逻辑功能,用4053设计门电路,并验证其逻辑功能: (1)根据实验原理设计如下的反相器电路图: CD4053构成反相器电路

福州大学模拟电路课程设计报告

模拟电路课程设计报告 设计课题:程控放大器设计 班级:电子科学与技术 姓名:1111111 学号:1111111 指导老师:杨 设计时间:2015年6月24日~26日 学院:物理与信息工程学院

目录 一、摘要及其设计目的 (3) 二、设计任务和要求 (4) 三、方案论证及设计方案 (5) 四、单元电路的设计、元器件选择和参数计算 (8) 五、总体电路图,电路的工作原理 (10) 六、组装与调试,波形电路实际图及数据 (12) 七、所用元器件及其介绍 (16) 八、课程设计心得与体会 (18)

一、摘要 本次课程设计的目的是通过设计与实验,了解实现程控放大器的方法,进一步理解设计方案与设计理念,扩展设计思路与视野。程控放大器的组成结构:1.利用3个运放OP07构成的耳机放大电路;2.芯片CD4051八位的选择器通过片选端的控制调节R1电阻值的大小,从而改变放大倍数。实现最大放大60db的目的。 A summary The purpose of this course design is to design and experiment, to understand the method of program control amplifier, to further understand the design scheme and design concept, to expand the design idea and the visual field. The structure of programmable amplifier: 1. The three operational amplifier OP07 constitute the headset amplifier circuit; chip CD4051 eight selector through the chip selection terminal control regulating resistor R1 value of size, thus changing the magnification. The purpose of achieving maximum amplification of 60db.

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

集成电路课程设计(CMOS二输入及门)

) 课程设计任务书 学生姓名:王伟专业班级:电子1001班 指导教师:刘金根工作单位:信息工程学院题目: 基于CMOS的二输入与门电路 初始条件: 计算机、Cadence软件、L-Edit软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) & 1、课程设计工作量:2周 2、技术要求: (1)学习Cadence IC软件和L-Edit软件。 (2)设计一个基于CMOS的二输入的与门电路。 (3)利用Cadence和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 | 学习Cadence IC和L-Edit软件,查阅相关资料,复习所设计内容的基本理论知识。 对二输入与门电路进行设计仿真工作,完成课设报告的撰写。 提交课程设计报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

目录 # 摘要 (2) 绪论…....………………………………………….………………….. ..3 一、设计要求 (4) 二、设计原理 (4) 三、设计思路 (4) 3.1、非门电路 (4) 3.2、二输入与非门电路 (6) 、二输入与门电路 (8) } 四、二输入与门电路设计 (9) 4.1、原理图设计 (9) 4.2、仿真分析 (10) 4.3、生成网络表 (13) 五、版图设计........................ (20) 、PMOS管版图设计 (20) 、NMOS管版图设计 (22) 、与门版图设计 (23)

福州大学钢筋混凝土结构课程设计计算书

《钢筋混凝土结构》 课程设计 题目:现浇钢筋混凝土肋梁楼盖设计 目录

1.课程设计目的 (3) 2.设计资料 (3) 3.设计内容 (4) 3.1确定结构布置方案 (4) 3.2板的设计 (5) 3.3次梁的设计 (7) 3.4主梁的设计 (11) 4.结束语 (18) 一. 课程设计目的

水工钢筋混凝土结构课程设计是水工钢筋混凝土结构教学计划中一个重要的实践性教学环节,对培养和提高学生的水工结构设计基本技能,启发学生对实际结构工作情况的认识和巩固所学的理论知识具有重要作用。 1).通过课程设计训练,了解水工钢筋混凝土结构设计的一般程序和内容,为毕业设计以及今后从事实际水工结构设计工作奠定初步基础。 2).复习巩固加深所学的钢筋混凝土基本构件中受弯构件和钢筋混凝土梁板结构设计等章节的理论知识。 3).掌握钢筋混凝土肋梁楼盖的一般设计方法,诸如: ①进一步理解单向板肋梁楼盖的结构布置、荷载传递途径和计算简图; ②掌握弹性理论的设计方法; ③掌握内力包络图和抵抗弯矩图的绘制方法; ④了解构造设计的重要性,掌握现浇梁板的有关构造要求; ⑤掌握现浇钢筋混凝土结构施工图的表示方法和制图规定; 4).学习结构施工图的绘制和结构计算书的编制方法,培养查阅技术规范和工程手册的能力,合理确定设计参数。 二、设计资料 1、某水力发电厂副厂房楼盖,采用钢筋混凝土梁板,其平面尺寸如图1所示。 图1 水力发电厂副厂房楼盖平面图 2、楼面活载标准值q=5.5 KN/m。 3、墙体厚度370mm,结构横向长21.6m,结构纵向长28.5m,楼梯位于该层平面的外部,本设计不予考虑。楼盖采用整体式单向板肋形结构。 4、该建筑位于非地震区。 5、建筑物安全级别为二级。

数电课程设计题目汇总..

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED 数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM 查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗: 〖主要参考元器件〗:CD4060,74LS74,74LS161,74LS248 电桥电路 供电电路 时钟电路 放大电路 A/D 转换 显示电路 时校 分校 秒校 24进制时计数器 单次或连续的脉冲 60进制分计数器 分频器 60进制秒计数器 译码电路 晶体振荡器 显示电路 译码电路 显示电路 显示电路 译码电路

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED 显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S 内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD 拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED 数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗 l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 外部操作开关 〖主要参考元器〗:CC4511,CC14522,CD4060 传感器 基准时间产生电路 倍频器 放大与整形 控制电路 计数译码 显 示电 路 秒脉冲发生器 计时器 译码显示 控制电路 报警电路

CMOS模拟集成电路课程设计

电子科学与技术系 课程设计 中文题目:CMOS二输入与非门的设计 英文题目: The design of CMOS two input NAND gate 姓名:张德龙 学号: 1207010128 专业名称:电子科学与技术 指导教师:宋明歆 2015年7月4日

CMOS二输入与非门的设计 张德龙哈尔滨理工大学电子科学与技术系 [内容摘要]随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本次课程设计将要运用S-Edit、L-edit、以及T-spice等工具设计出CMOS二输入与非门电路并生成spice文件再画出电路版图。 [关键词]CMOS二输入与非门电路设计仿真

目录 1.概述 (1) 2.CMOS二输入与非门的设计准备工作 (1) 2-1 .CMOS二输入与非门的基本构成电路 (1) 2-2.计算相关参数 (2) 2-3.电路spice文件 (3) 2-4.分析电路性质 (3) 3、使用L-Edit绘制基本CMOS二输入与非门版图 (4) 3-1.CMOS二输入与非门设计的规则与布局布线 (4) 3-2.CMOS二输入与非门的版图绘制与实现 (5) 4、总结 (6) 5、参考文献 (6)

1.概述 本次课程设计将使用S-Edit画出CMOS二输入与非门电路的电路图,并用T-spice生成电路文件,然后经过一系列添加操作进行仿真模拟,计算相关参数、分析电路性质,在W-edit中使电路仿真图像,最后将电路图绘制电路版图进行对比并且做出总结。 2.CMOS二输入与非门的设计准备工作 2-1 .CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路 1

福州大学集成电路应用实验二-参考模板

《集成电路应用》课程实验实验二锁相环综合实验 学院:物理与信息工程学院 专业: 电子信息工程 年级: 2015级 姓名:张桢 学号: 指导老师:许志猛

实验二锁相环综合实验 一、实验目的: 1.掌握锁相环的基本原理。 2.掌握锁相环外部元件的选择方法。 3.应用CD4046锁相环进行基本应用设计。 二、元件和仪器: 1.CD4046 2.函数信号发生器 3.示波器 4.电阻、电容若干 5.面包板 三、实验原理: 1.锁相环的基本原理。 锁相环最基本的结构如图所示。它由三个基本的部件组成:鉴相器(PD)、环路滤波器(LPF)和压控振荡器(VCO)。 锁相环工作原理图 鉴相器是个相位比较装置。它把输入信号Si(t)和压控振荡器的输出信号So(t)的相位进行比较,产生对应于两个信号相位差的误差电压Se(t)。 环路滤波器的作用是滤除误差电压Se(t)中的高频成分和噪声,以保证环路所要求的性能,增加系统的稳定性。

压控振荡器受控制电压Sd(t)的控制,使压控振荡器的频率向输入信号的频率靠拢,直至消除频差而锁定。 锁相环是个相位误差控制系统。它比较输入信号和压控振荡器输出信号之间的相位差,从而产生误差控制电压来调整压控振荡器的频率,以达到与输入信号同频。在环路开始工作时,如果输入信号频率与压控振荡器频率不同,则由于两信号之间存在固有的频率差,它们之间的相位差势必一直在变化,结果鉴相器输出的误差电压就在一定范围内变化。在这种误差电压的控制下,压控振荡器的频率也在变化。若压控振荡器的频率能够变化到与输入信号频率相等,在满足稳定性条件下就在这个频率上稳定下来。达到稳定后,输入信号和压控振荡器输出信号之间的频差为零,相差不再随时间变化,误差电压为一固定值,这时环路就进入“锁定”状态。这就是锁相环工作的大致过程。 2.CD4046芯片的工作原理。 CD4046是通用的CMOS锁相环集成电路,其特点是电源电压范围宽(为3V -18V),输入阻抗高(约100MΩ),动态功耗小,在中心频率f0为10kHz下功耗仅为600μW,属微功耗器件。 CD4046锁相的意义是相位同步的自动控制,功能是完成两个电信号相位同步的自动控制闭环系统叫做锁相环,简称PLL。它广泛应用于广播通信、频率合成、自动控制及时钟同步等技术领域。锁相环主要由相位比较器(PC)、压控振荡器(VCO)、低通滤波器三部分组成,如下所示。 4046组成框图

课程设计77297

1. 课程设计目的: 《专业课程设计》是面向生物技术方向高年级学生的综合性课程。目的是使学生进一步巩固加深所学的基础理论、基本技能和专业知识,使之系统化、综合化;培养学生独立工作、独立思考并运用已学的基本理论和知识解决实际问题的能力;培养学生的科学素质,提高学生的抽象思维能力、加强培养学生自己获取知识和更新知识的能力。本课程通过应用生物信息学的方法,从公用数据库上收集自己所需的数据,并用计算机进行处理,从而获取自己所需要的信息。 独立于另外两个专业课设,此次课设主要应用生物信息学的方法,通过网络资源挖掘所需的核酸与蛋白质的秘密,完成所给任务。 2. 课程设计题目选择: 2.1课设题目选择: 此次课程设计包括两个方面(任选一个):为核酸和蛋白质。前者为在人的基因组中,搜索可能的新基因。后者为应用网络资源对所感兴趣蛋白质进行分析,分析其结构与功能关系或对一未知功能的蛋白质进行功能预测与分析。蛋白质直接关系表达结果问题,研究意义重大。分析蛋白质结构、功能及其关系是蛋白质组计划中的一个重要组成部分。研究蛋白质结构,有助于了解蛋白质的作用,了解蛋白质如何行使其生物功能,认识蛋白质与蛋白质(或其它分子)之间的相互作用,这无论是对于生物学还是对于医学和药学,都是非常重要的。对于未知功能或者新发现的蛋白质分子,通过结构分析,可以进行功能注释,指导设计进行功能确认的生物学实验。通过分析蛋白质的结构,确认功能单位或者结构域,可以为遗传操作提供目标,为设计新的蛋白质或改造已有蛋白质提供可靠的依据,同时为新的药物分子设计提供合理的靶分子结构。 2.2 课设题目确定: 上学期被选进福州大学生物工程研究所细胞组,承担对毕赤酵母发酵的 PTD(TAT)-SOD蛋白分子即PS2蛋白分子性质的表征。经过凝胶过滤层析技术和SDS-PAGE,糖蛋白的BSA染色,多糖电泳确定了PS2在分子结构特征上存在多聚体、和糖基化现象。为此想以此课程设计为契机,对PS2蛋白分子进行生物信息学的分析进一步评价PS2蛋白结构和功能的关系。本文借助从UnProt蛋白数据库中搜集到足够数量和不同物种来源Cu,Zn-SOD;运用生物信息学软件进行序列比对,和绘制进化树。对所得结果进行分析。然后用得到的保守序列作为出发序列搜索相关数据库,(例如PROSITE数据库),从而分析该序列的功能和结构信息,及功能和结构的关系,并对PS2蛋白分子进行基于一级结构的物理化学性质和生物化学性质、二级结构信息等进行预测。 3.PS2蛋白简介 人铜,锌超氧化物歧化酶(hCuZn-SOD)是一种重要的胞内酶,对机体的氧化和抗氧化平

集成电路课程设计范例

集成电路课程设计 范例 1

集成电路课程设计 1.目的与任务 本课程设计是《集成电路分析与设计基础》的实践课程,其主要目的是使学生在熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础上,训练综合运用已掌握的知识,利用相关软件,初步熟悉和掌握集成电路芯片系统设计→电路设计及模拟→版图设计→版图验证等正向设计方法。 2.设计题目与要求 2.1设计题目及其性能指标要求 器件名称:含两个2-4译码器的74HC139芯片 要求电路性能指标: (1)可驱动10个LSTTL电路(相当于15pF电容负载); (2)输出高电平时,|I OH|≤20μA,V OH,min=4.4V; (3)输出底电平时,|I OL|≤4mA,V OL,man=0.4V; (4)输出级充放电时间t r=t f,t pd<25ns; (5)工作电源5V,常温工作,工作频率f work=30MHz,总功耗P max=150mW。 2.2设计要求 1.独立完成设计74HC139芯片的全过程; 2.设计时使用的工艺及设计规则: MOSIS:mhp_n12;

3.根据所用的工艺,选取合理的模型库; 4.选用以lambda(λ)为单位的设计规则; 5.全手工、层次化设计版图; 6.达到指导书提出的设计指标要求。 3.设计方法与计算 3.174HC139芯片简介 74HC139是包含两个2线-4线译码器的高速CMOS数字电路集成芯片,能与TTL集成电路芯片兼容,它的管脚图如图1所示,其逻辑真值表如表1所示: 图1 74HC139芯片管脚图 表1 74HC139真值表 片选输入数据输出 C s A1 A0 Y0 Y1Y2Y3 0 0 0 0 1 1 1 0 0 1 1 0 1 0 1 0 1 1 0 1

模电课程设计(完整)

模拟电路课程设计指导书福州大学物理与信息工程学院

目录 一.模拟电子电路设计方法 (2) 1、总体方案的设计与选择 (3) 2.单元单路的设计与选择 (3) 3.元器件的选择与参数计算 (4) 4.总体电路图设计 (7) 5.电子电路的安装与调试 (9) 6.设计报告的撰写 (14) 设计一1W扩音机课程设计 (15) 设计二音响放大器设计 (20) 设计三程控放大器设计 (23) 设计四函数信号发生器电路设计 (24)

一.模拟电子电路设计方法 电子电路设计一般包括拟定性能指标、电路的预设计、实验和修改设计等环节。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的余量;电路简单、成本低、功耗低;所采用元器件的品种少、体积小且货源充足;便于生产、测试和修改等。 电子电路设计一般步骤如图1-1所示。 图1-1 电子电路设计一般步骤 由于电子电路种类繁多,千差万别,设计方法和步骤也因情况不同而有所差异,因而上述设计步骤需要交叉进行,有时甚至会出现多次反复。因此在设计时,应根据实际情况灵活掌握。

1、总体方案的设计与选择 设计电路的第一步就是选择总体方案,所谓选择总体方案是根据设计任务、指标要求和给定的条件,分析所要求设计电路应完成的功能,并将总体功能分解成若干单元,分清主次和相互的关系,形成若干单元功能模块组成的总体方案。该方案可以有多个,需要通过实际的调查研究,查阅有关的资料或集体讨论等方式,着重从方案能否满足要求、结构是否简单、实现是否经济可行等方面,对几个方案进行比较和论证,择优选取。对选用的方案,常用方框图的形式表示出来。 选择方案应注意的几个问题: 应当针对关系到电路全局的问题,开动脑筋,多提些不同的方案,深入分析比较,有些关键部分,还要提出各种具体电路,根据设计要求进行分析比较,从而找出最优方案。 要考虑方案的可行性、性能、可靠性、成本、功耗和体积等实际问题。 选定一个满意的方案并非易事,在分析论证和设计过程中需要不断改进和完善,出现一些反复是在所难免的,但应尽量避免方案上的大反复,以免浪费时间和精力。 2.单元单路的设计与选择 在确定了总体方案,画出详细框图之后,便可进行单元电路设计。任何复杂的电子电路,都是由若干简单功能的单元电路组成的,这些单元电路的性能指标往往比较单一。在明确每个单元电路的技术指标后,要分析清楚单元电路的工作原理,设计出各单元的电路结构形式,尽量采用学过的或者熟悉的单元电路,要善于通过查询资料,分析研究一些新型电路,开发利用新型器件,亦可在与设计要求相近的电路基础上进行适当改进或进行创造性设计。 设计单元电路的一般方法和步骤: (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标。注意各单元电路之间的相互配合,但要尽量少用或者不用电平转换之类的接口电路,以简化电路结构、降低成本。

集成电路课程设计模板及参考资 [1]...

集成电路课程设计报告 设计课题: 数字电子钟的设计 姓名: 专业: 电子信息工程 学号: 日期 20 年月日——20 年月日指导教师: 国立华侨大学信息科学与工程学院

目录 1.设计的任务与要求 (1) 2.方案论证与选择 (1) 3.单元电路的设计和元器件的选择 (5) 3.1 六进制电路的设计 (6) 3.2 十进制计数电路的设计 (6) 3.3 六十进制计数电路的设计 (6) 3.4双六十进制计数电路的设计 (7) 3.5时间计数电路的设计 (8) 3.6 校正电路的设计 (8) 3.7 时钟电路的设计 (8) 3.8 整点报时电路的设计 (9) 3.9 主要元器件的选择 (10) 4.系统电路总图及原理 (10) 5.经验体会 (10) 参考文献 (11) 附录A:系统电路原理图 (12)

数字电子钟的设计 1. 设计的任务与要求 数字钟是一种…。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步了解…。 1.1设计指标 1. 时间以12小时为一个周期; 2. 显示时、分、秒; 3. 具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 4. 计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时; 5. 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。1.2 设计要求 1. 画出电路原理图(或仿真电路图); 2. 元器件及参数选择(或开发板的考虑); 3. 编写设计报告,写出设计的全过程,附上有关资料和图纸(也可直接写在 相关章节中),有心得体会。 2. 方案论证与选择 2.1 数字钟的系统方案 数字钟实际上是…

福州大学课程设计格式范文

福州大学课程设计 格式

《PIC单片机》 课程设计 学生姓名:邱荣华 学号: 专业班级:电气工程与自动化级2班 指导教师:江和 6月13日 1.课程设计题目和要求 课程设计的性质和目的 《PIC单片机课程设计》是电气工程及其自动化专业及相近专业的一门重要的专业实践课,本课程在《PIC 单片机》课程的基础上,

经过硬件设计与软件编程与调试的实践,进一步掌握PIC单片机的应用方法,熟练PIC 单片机的C程序的编写与调试,是毕业设计前的一次重要的实践,为今后走上工作岗位打下坚实的单片机应用基础。 要达到的目标: 1.熟悉MPLAB IDE和PROTUES的使用。 2.熟练掌握PIC16F887单片机的编程技巧,加深对理论教学内容的理解与掌握。 3.经过自主选题、编程、调试到完成任务,从而提高分析和解决问题的能力,培养自主创新能力。 1.2课程设计内容和要求 设计一个具有完整功能、有一定工作量与一定难度的单片机应用线路,并绘制能用于仿真的proteus 线路图,编制相应的单片机c 语言,分别在proteus仿真界面和实物板上调试运行正确。最后用实物进行运行演示,并写出符合规范的课程设计报告。 2.设计方案 2.1利用PIC16F887单片机设计一个万年历,经过IIC通信将DS1307时钟芯片中的时间用LCD液晶屏显示。同时,该设计还兼具温度显示功能,能够经过键盘设置当前时间、日期。 2.2 硬件框图: 根据所要实现的预期功能,硬件必须要有最小系统模块、LCD显示模块、时钟模块、温度模块、按键模块等

2.3 protues 仿真图的绘制: 初步了解此次课程设计所用的开发板,并根据所选课题,进一步了解该课题用到的相应模块。在protues 中找到相信模块中的元件,绘制好仿真图。 2.4最小系统 最小系统包括16F887单片机、复位模块、4M 外部晶振、排针等部件组成如下最基本电路,其中芯片供电的引脚略去。本课设板所用的单片机为PIC16F887,是877A 的升级,其主要参数与 单 片 机 PIC16F887 LCD 显示 时间设 时钟芯片 温度传感器

数字集成电路课程设计74hc138

目录 1.目的与任务 (1) 2.教学内容基要求 (1) 3.设计的方法与计算分析 (1) 3.1 74H C138芯片简介 (1) 3.2 电路设计 (3) 3.3功耗与延时计算 (6) 4.电路模拟 (14) 4.1直流分析 (15) 4.2 瞬态分析 (17) 4.3功耗分析 (19) 5.版图设计 (19) 5.1 输入级的设计 (19) 5.2 内部反相器的设计 (19) 5.3输入和输出缓冲门的设计 (22) 5.4内部逻辑门的设计 (23) 5.5输出级的设计 (24) 5.6连接成总电路图 (24) 5.3版图检查 (24) 6.总图的整理 (26) 7.经验与体会 (26) 8.参考文献 (26) 附录 A 电路原理图总图 (28) 附录B总电路版图 (29)

集成 1. 目的与任务 本课程设计是《集成电路分析与设计基础》的实践课程,其主要目的是使学生在熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础上,训练综合运用已掌握的知识,利用相关软件,初步熟悉和掌握集成电路芯片系统设计→电路设计及模拟→版图设计→版图验证等正向设计方法。 2. 教学内容基本要求 2.1课程设计题目及要求 器件名称:3-8译码器的74HC138芯片 要求电路性能指标: ⑴可驱动10个LSTTL 电路(相当于15pF 电容负载); ⑵输出高电平时,OH I ≤20uA, min ,OH V =4.4V; ⑶输出低电平时, OL I ≤4mA , man OL V , =0.4V ⑷输出级充放电时间r t = f t , pd t <25ns ; ⑸工作电源5V ,常温工作,工作频率work f =30MHZ ,总功耗 max P =15mW 。 2.2课程设计的内容 1. 功能分析及逻辑设计; 2. 电路设计及器件参数计算; 3. 估算功耗与延时; 4. 电路模拟与仿真; 5. 版图设计; 6. 版图检查:DRC 与LVS ; 7. 后仿真(选做); 8. 版图数据提交。 2.3课程设计的要求与数据 1. 独立完成设计74HC138芯片的全过程; 2. 设计时使用的工艺及设计规则: MOSIS:mhp_ns5; 3. 根据所用的工艺,选取合理的模型库; 4. 选用以lambda(λ)为单位的设计规则; 3. 设计的方法与计算分析 3.1 74HC138芯片简介

集成电路课程设计

集成电路课程设计报告 课题:二输入或非门电路与版图设计 专业 电子科学与技术 学生姓名 严 佳 班 级 B 电科121 学号 1210705128 指导教师 高 直 起止日期 2015.11.16-2015.11.29

摘要 集成电路是一种微型电子器件或部件。它是采用一定的工艺,把一个电路中所需的晶体管等有源器件和电阻、电容等无源器件及布线互连在一起,制作在一小块半导体晶片上,封装在一个管壳内,执行特定电路或系统功能的微型结构。在整个集成电路设计过程中,版图设计是其中重要的一环。它是把每个原件的电路表示转换成集合表示,同时,元件间连接的线也被转换成几何连线图形。对于复杂的版图设计,一般把版图设计划分成若干个子版图进行设计,对每个子版图进行合理的规划和布图,子版图之间进行优化连线、合理布局,使其大小和功能都符合要求。 越来越多的电子电路都在使用MOS管,特别是在音响领域更是如此。MOS 管与普通晶体管相比具有输入阻抗高、噪声系数小、热稳定性好、动态范围大等优点,且它是一种压控器件,有与电子管相似的传输特性,因而在集成电路中也得到了广泛的应用。 关键词:CMOS门电路或非门集成电路

绪论 目前,集成电路经历了小规模集成、中规模集成、大规模集成和超大规模集成。单个芯片上已经可以制作包含臣大数量晶体管的、完整的数字系统。在整个集成电路设计过程中,版图设计是其中重要的一环。它是把每个原件的电路表示转换成集合表示,同时,元件间连接的线也被转换成几何连线图形。对于复杂的版图设计,一般把版图设计划分成若干个子版图进行设计,对每个子版图进行合理的规划和布图,子版图之间进行优化连线、合理布局,使其大小和功能都符合要求。版图设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不同的工艺,有不同的设计规则。设计者只有得到了厂家提供的规则以后,才能开始设计。在版图设计过程中,要进行定期的检查,避免错误的积累而导致难以修改。 1.设计要求 (1)学习Multisim软件和L-Edit软件 (2)设计一个基于CMOS的二输入或非门电路。 (3)利用Multisim和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 2.设计目的 (1)熟悉Multisim软件的使用。 (2)L-Edit软件的使用。 (3)培养自己综合运用所学知识、独立分析和解决实际问题的能力,培养创新意识和创新能力,并获得科学研究的基础训练,加深对集成电路版图设计的了解。 3.设计原理 能够实现B =“或非”逻辑关系的电路均称为“或非门”。二输入或 A L+ 非门有两个输入端A和B以及一个输出端L,只有当A端和B端同时为高电平时输出才为低电平,否则输出都为高电平。在一个或门的输出端连接一个非门就构成了“或非门”,如图1.1所示,逻辑符号如图1.2所示,真值表如图1.3所示。

(完整word版)福州大学电子通信、集成电路考研复试问题总结

1. 如何消除工频干扰 工频干扰:市电电压的频率为50Hz,它会以电磁波的辐射形式,对人们的日常生活造成干扰,我们把这种干扰称之为工频干扰。 抑制的关键是搞清楚噪声传递方式,是空间辐射还是传导。 ①如果50Hz噪声是空间辐射进入的,说明设计存在高阻抗输入点,降低阻抗可能会解决问 题; ②如果是传导,需要切断传导途径。比如从电源耦合进入的,可以对电源进行二次变换等 等。 ③如果信号频段和工频不一致,可以滤波,采用陷波滤波器(注:就是在一定频带内的信号 不能通过,而且其他频率的信号可以通过。带阻滤波器。),或者软件滤波等等。 ④当然在抑制不了的时候还可以采取适应的方案,就是让设备适应工频噪声,如比例双积分 的ADC可以控制积分时间为50Hz整周期等等。 工频干扰会对电气设备和电子设备造成干扰,导致设备运行异常。应用隔离变压器和滤波器,再加良好屏蔽。总的来说具体问题具体分析,泛泛而谈意义不大。 2. 语音信号与音频信号的区别 音频信号的频率范围就是人耳可以听到的频率范围,超过这个范围的音频信号没有意 义。20Hz-20000Hz.语音的频率范围在30-1000Hz之间。 音频信号是(Audio)带有语音、音乐和音效的有规律的声波的频率、幅度变化信息载 体。 语音信号处理是研究用数字信号处理技术对语言信号进行处理的一门学科,语音信号处 理的理论和研究包括紧密结合的两个方面:一方面,从语言的产生和感知来对其进行研究, 这一研究与语言、语言学、认知科学、心理、生理等学科密不可分;另一方面,是将语音作 为一种信号来进行处理,包括传统的数字信号处理技术以及一些新的应用于语音信号的处理 方法和技术。 音频信号是语音信号经过数码音频系统转化来的

福州大学研究生学位论文规范

-1- 福州大学研究生学位论文规范 (2016年7月修订) 学位论文是申请博士或硕士学位的重要文献资料,是社会的宝贵财富。为了进一步提高学位论文质量,特制定本规范,博士、硕士研究生在撰写论文时应参照执行。 一、学位论文内容和格式 论文的内容及其顺序依次为:封面、独创性声明和关于论文使用授权的说明、中文摘要、外文摘要、目录、主要符号表、正文、结论、致谢、参考文献、附录、个人简历、在学期间的研究成果及发表的学术论文。 1.封面封面::按国标,全校统一格式。 题目:应能概括整个论文最重要的内容,具体、切题、不能太笼统,要引人注目;题目力求简短,严格控制在30字以内。 分类号分类号分类号::中国图书资料分类法类号。 编号编号编号::为学校代码。福州大学的代码为10386。 UDC UDC :国际十进制分类法类号。 密级密级密级::在封面右上角处注明论文密级为公开公开、、内部内部、、秘密或机密机密。。 专业名称专业名称::以国务院学位委员会批准的专业目录中的专业为准,一般为二级学科名称。 2.2.独创性声明和关于论文使用授权的说明独创性声明和关于论文使用授权的说明独创性声明和关于论文使用授权的说明:: 为更好地维护我校

-2- 学位制度的声誉,进一步规范学位论文管理,保证学位论文质量,明晰知识产权,杜绝论文剽窃现象,要求学位申请人及其指导教师分别签署“遵守学术行为规范承诺”、“独创性声明”、“关于论文使用授权的说明”(见附件1),并将其做为论文插页装订在学位论文的首页。作者和指导教师的姓名需本人亲笔签字,不得用盖章或打印。 3.中文摘要中文摘要::论文第一页为中文摘要,约800~1000字左右(限一页)。包括论文题目、摘要内容和关键词。摘要内容应包括工作目的、研究方法、成果和结论等。语言力求精炼,一般不宜使用公式、图表,不标注引用文献。为了便于文献检索,应在本页下方另起一行注明3~5个论文的关键词。 4.4.英文摘要英文摘要英文摘要::中文摘要后为英文摘要,也应包括论文题目、摘要内容和关键词。内容应与中文摘要相同。 5.目录目录::应是论文的提纲,也是论文组成部分的小标题。 6.主要符号表主要符号表::如果论文中使用了大量的物理量符号、标志、缩略词、专门计量单位、自定义名词和术语等,应编写成注释说明汇集表。若上述符号和缩略词使用数量不多,可以不设专门的汇集表,而在论文中出现时加以说明。 7.引言:作为论文的第一章,内容为包括研究课题的学术背景及意义,国内外文献的综述,研究课题的来源,研究的目的和主要研究内容。 8.正文:是学位论文的主体。写作内容可因研究课题性质而不同,一般可包括:理论分析、计算方法、实验装置和测试方法、经

相关文档
最新文档