最新数字集成电路设计-笔记归纳

最新数字集成电路设计-笔记归纳
最新数字集成电路设计-笔记归纳

第三章、器件

一、超深亚微米工艺条件下MOS管主要二阶效应:

1、速度饱和效应:主要出现在短沟道NMOS管,PMOS速度饱和效应不显著。主要原因是

V GS -V TH太大。在沟道电场强度不高时载流子速度正比于电场强度(¥ =卩匕),即载流子

迁移率是常数。但在电场强度很高时载流子的速度将由于散射效应而趋于饱和,不再随电场

强度的增加而线性增加。此时近似表达式为::-「(「::Q, 1二t出二』c(?_■), 出现饱和速度时的漏源电压V DSAT是一个常数。线性区的电流公式不变,但一旦达到V DSAT,电流即可饱和,此时I DS与

V GS成线性关系(不再是低压时的平方关系)。

2、Latch-up效应:由于单阱工艺的NPNP结构,可能会出现VDD到VSS的短路大电流。

正反馈机制:PNP微正向导通,射集电流反馈入NPN的基极,电流放大后又反馈到PNP的基极,再次放大加剧导通。

克服的方法:1、减少阱/衬底的寄生电阻,从而减少馈入基极的电流,于是削弱了正反馈。

2、保护环。

3、短沟道效应:在沟道较长时,沟道耗尽区主要来自MOS场效应,而当沟道较短时,漏衬

结(反偏)、源衬结的耗尽区将不可忽略,即栅下的一部分区域已被耗尽,只需要一个较小的阈值电压就足以引起强反型。所以短沟时VT随L的减小而减小。

此外,提高漏源电压可以得到类似的效应,短沟时VT随VDS增加而减小,因为这增加了反

偏漏衬结耗尽区的宽度。这一效应被称为漏端感应源端势垒降低。

4、漏端感应源端势垒降低

( VDS 增加会使源端势垒下降, 漏源穿通,将不受栅压控制。

5、 亚阈值效应(弱反型导通):当电压低于阈值电压时 MOS 管已部分导通。不存在导电沟 道时源

(n+)体(卩)漏(n+)三端实际上形成了一个寄生的双极性晶体管。一般希望该效 应越小越好,尤其在依靠电荷在电容上存储的动态电路, 因为其工作会受亚阈值漏电的严重 影响。

绝缘体上硅(SOI )

6、 沟长调制:长沟器件:沟道夹断饱和;短沟器件:载流子速度饱和。

7、热载流子效应:由于器件发展过程中,电压降低的幅度不及器件尺寸,导致电场强度提 高,使得电子速

度增加。 漏端强电场一方面引起高能热电子与晶格碰撞产生电子空穴对, 从

而形成衬底电流,另一方面使电子隧穿到栅氧中,形成栅电流并改变阈值电压。 影响:1、使器件参数变差,引起长期的可靠性问题,可能导致器件失效。 2、衬底电流会引 入噪声、Latch-up 、和动态节点漏电。

解决:LDD (轻掺杂漏):在漏源区和沟道间加一段电阻率较高的轻掺杂 n-区。缺点是使器

件跨导和IDS 减小。

&体效应:衬底偏置体效应、衬底电流感应体效应(衬底电流在衬底电阻上的压降造成衬 偏电压)。

二、MOSFET 器件模型

1、 目的、意义:减少设计时间和制造成本。

2、 要求:精确;有物理基础;可扩展性,能预测不同尺寸器件性能;高效率性,减少迭代 次数和模拟时间

3、 结构电阻:沟道等效电阻、寄生电阻

4、 结构电容: 三、特征尺寸缩小

目的:1、尺寸更小;2、速度更快;3、功耗更低;4、成本更低、 方式:

1、 恒场律(全比例缩小),理想模型,尺寸和电压按统一比例缩小。

优点:提高了集成密度 未改善:功率密度。

问题:1、电流密度增加;2、VTH 小使得抗干扰能力差;3、电源电压标准改变带来不便; 4、

漏源耗尽层宽度不按比例缩小。

2、 恒压律,目前最普遍,仅尺寸缩小,电压保持不变。

优点:1、电源电压不变;2、提高了集成密度

问题:1、电流密度、功率密度极大增加; 2、功耗增加;3、沟道电场增加,将产生热载流 子效应、速度饱和效应等负面效应; 4、衬底浓度的增加使 PN 结寄生电容增加,速度下降。

3、 一般化缩小,对今天最实用,尺寸和电压按不同比例缩小。 限制因素:长期使用的可靠性、载流子的极

限速度、功耗。

DIBL ):

沟道长度缩短会使源端势垒下降。

VDS 很大时反偏漏衬结击穿,

第四章、导线及互连

一、确定并量化互连参数

1互连寄生参数(寄生R、L C)对电路特性的影响主要表现在三个方面:性能下降,传播延时增加;功耗增加,影响能耗和功率的分布;引起额外的噪声来源,影响电路可靠性。

2、寄生参数简化条件(寄生电阻、寄生电感、寄生电容(对地电容,线间电容)):若导线电阻大,可以不考虑电感,只考虑电阻电容;

若导线电阻小且短,可以只考虑电容;

若导线电阻小且长,则需考虑电感电容;

若导线平均间距很大,可以不考虑线间电容。

3、互连电阻:

R =『-L :纵向参数t、「由工艺决定,横向参数I、w由版图决定。互连电阻越小,允许wt

通过互连线的电流越大,互连延迟越小。

P I

薄层电阻R S与版图尺寸无关,则R = R S—= R s n (n为薄层电阻方块数):

t w

有源接触孔)、不同互连层之间的接触(通孔)

减低接触电阻的途径:增大接触孔(效果不明显);增多

接触孔;信号线尽量保持在同一层。0.25umCMOS工艺接触电阻典型值:有源接触孔5~20门,通孔1~5「】。

趋肤效应:在非常高频率下,电流主要在导体表面流动,其电流密度随进入导体深度而指数

下降。趋肤深度:电流下降到额定值的1/e时所处的深度。临界频率:趋肤深度达到导体最大尺寸(w或t)的1/2时的频率。

4、互连电容:

导线对衬底的电容:是电路负载电容的一部分。不考虑边缘效应时c」°xWl(若w>>t),名ox t OX

是绝缘介质(氧化层)的介电常数,t ox是氧化层厚度。

导线间的电容:

5、互连电感:

何时考虑:很长的互连线;极高的频率>1GHz;低电阻率互连材料如Cu。

对电路性能影响:振荡和过冲效应;导线间电感耦合;.:V=Ldi/dt弓I起的开关噪声;阻抗失配引起的信号反射。

不同工艺层+ R□艸0 - 吗冲;* $甩

->讥阳

接触电阻:互连与硅及多晶之间的接触(

电感值估算:一条导线(每单位长度)的电容c和电感I存在Cl二口关系式(成立的条件

是该导线必须完全被均匀的绝缘介质所包围,但不满足时也可使用来求近似值)。

二、互连线延时模型

1、分布模型:电阻和电容沿线长连续分布,是实际情形,但需要解偏微分方程。

2、集总模型:以总电阻和总对地电容等效。适用于导线较短且频率不十分高的情况,只需解常微分方程。对长互连线是一个保守和不精确的模型。

为解决集总模型对于长互连线不精确,采取分段集总(分段数越多越精确,但模型越复杂,

模拟所需时间越长)。引入:

3、RC树、Elmore延时公式:

RC树:该电路只有一个输入节点,所有电容都在某个节点和地之间,不包含任何电阻回路(使其成为树结构)。

N

Elmore延时公式:节点i处延时为 p 八.C k R k, R ii表示路径电阻,R ik表示共享路径

电阻,代表从输入节点s到节点i和节点k这两条路径共享的电阻,G代表这个节点的电容。

4、N级RC链:RC树的无分支的特殊情形。可以使用N级等分RC链来近似一条均匀分布

N +1

电阻-电容线:PN二RC ,导线长L,单位长度电阻、电容为r、c。R(=rL)是导线

2N

RC rcl 2

集总电阻,C (=cL)是集总电容。当N很大时模型趋于分布式rc线:.DN:

2 2

从而有:一条导线的延时与其长度的平方成正比,分布rc线的延时是集总RC模型预测的延

时的一半,即集总模型代表保守估计。

5、互连延时的优化:

采用低电阻率互连导体,降低R:采用Cu替换AI。

采用低介电常数的互连介质,降低C:将减少延时、功耗和串扰。

采用过渡金属硅化物,降低多晶接触电阻。

增加互连层数量,有助于减少导线长度。

分层优化。

地址线对策。

优化走线方式,45 °布线。

插入中继器。

降低电压摆幅,既缩小了延时又减小了动态功耗。

三、传输线模型

当开关速度足够快,互连线的电阻足够小时,导线的电感将不可忽略,因而必须考虑传输线

效应。一条导线的分布rlc模型称为传输线模型。

1、有损传输线:考虑r、I、c,适用于Al基芯片。

2、无损传输线:考虑I、c,适用于Cu基芯片。

单位长度的传输延时t p = Ic。

信号反射与终端阻抗:终端阻抗决定了当波到达导线末端时有多少比例被反射。

R — Z

反射系数:0(R为终端阻抗,Z o为线的特征阻抗)

R +Z o

不同终端时传输线特性:

3、抑制传输线效应:阻抗匹配,在导线源端串联匹配电阻或者在导线末端并联匹配电阻。

四、串扰

1、来源:当两条互连线间距很小时,一条线上的脉冲电压通过寄生电容耦合在另外一条线上引起寄生信号。

2、串扰的大小取决于线间耦合电容的大小和线间电压差随时间的变化速率。线间距越小,耦合电容越大,串扰越严重。

层间串扰:平板电容。重叠面积越大,电容越大。为了使重叠面积尽可能小,版图设计时应使相邻两层连线在交叉时相互垂直。

3、抑制串扰的途径:

尽量避免节点浮空。

对串扰敏感的节点(低摆幅、浮空)应尽量远离全摆幅信号线。

相邻(同层、异层)导线尽量不要平行,邻层尽量垂直走线,平行走线尽量远离。

L JE

人射厭

h蚪启开岭

*“帰册却蘇-

终鯛如路

Z,三0n 沪=-f

数字集成电路设计_笔记归纳..

第三章、器件 一、超深亚微米工艺条件下MOS 管主要二阶效应: 1、速度饱和效应:主要出现在短沟道NMOS 管,PMOS 速度饱和效应不显著。主要原因是 TH G S V V -太大。在沟道电场强度不高时载流子速度正比于电场强度(μξν=) ,即载流子迁移率是常数。但在电场强度很高时载流子的速度将由于散射效应而趋于饱和,不再随电场 强度的增加而线性增加。此时近似表达式为:μξυ=(c ξξ<),c s a t μξυυ==(c ξξ≥) ,出现饱和速度时的漏源电压D SAT V 是一个常数。线性区的电流公式不变,但一旦达到DSAT V ,电流即可饱和,此时DS I 与GS V 成线性关系(不再是低压时的平方关系)。 2、Latch-up 效应:由于单阱工艺的NPNP 结构,可能会出现VDD 到VSS 的短路大电流。 正反馈机制:PNP 微正向导通,射集电流反馈入NPN 的基极,电流放大后又反馈到PNP 的基极,再次放大加剧导通。 克服的方法:1、减少阱/衬底的寄生电阻,从而减少馈入基极的电流,于是削弱了正反馈。 2、保护环。 3、短沟道效应:在沟道较长时,沟道耗尽区主要来自MOS 场效应,而当沟道较短时,漏衬结(反偏)、源衬结的耗尽区将不可忽略,即栅下的一部分区域已被耗尽,只需要一个较小的阈值电压就足以引起强反型。所以短沟时VT 随L 的减小而减小。 此外,提高漏源电压可以得到类似的效应,短沟时VT 随VDS 增加而减小,因为这增加了反偏漏衬结耗尽区的宽度。这一效应被称为漏端感应源端势垒降低。

4、漏端感应源端势垒降低(DIBL): VDS增加会使源端势垒下降,沟道长度缩短会使源端势垒下降。VDS很大时反偏漏衬结击穿,漏源穿通,将不受栅压控制。 5、亚阈值效应(弱反型导通):当电压低于阈值电压时MOS管已部分导通。不存在导电沟道时源(n+)体(p)漏(n+)三端实际上形成了一个寄生的双极性晶体管。一般希望该效应越小越好,尤其在依靠电荷在电容上存储的动态电路,因为其工作会受亚阈值漏电的严重影响。 绝缘体上硅(SOI) 6、沟长调制:长沟器件:沟道夹断饱和;短沟器件:载流子速度饱和。 7、热载流子效应:由于器件发展过程中,电压降低的幅度不及器件尺寸,导致电场强度提高,使得电子速度增加。漏端强电场一方面引起高能热电子与晶格碰撞产生电子空穴对,从而形成衬底电流,另一方面使电子隧穿到栅氧中,形成栅电流并改变阈值电压。 影响:1、使器件参数变差,引起长期的可靠性问题,可能导致器件失效。2、衬底电流会引入噪声、Latch-up、和动态节点漏电。 解决:LDD(轻掺杂漏):在漏源区和沟道间加一段电阻率较高的轻掺杂n-区。缺点是使器件跨导和IDS减小。 8、体效应:衬底偏置体效应、衬底电流感应体效应(衬底电流在衬底电阻上的压降造成衬偏电压)。 二、MOSFET器件模型 1、目的、意义:减少设计时间和制造成本。 2、要求:精确;有物理基础;可扩展性,能预测不同尺寸器件性能;高效率性,减少迭代次数和模拟时间 3、结构电阻:沟道等效电阻、寄生电阻 4、结构电容: 三、特征尺寸缩小 目的:1、尺寸更小;2、速度更快;3、功耗更低;4、成本更低、 方式: 1、恒场律(全比例缩小),理想模型,尺寸和电压按统一比例缩小。 优点:提高了集成密度 未改善:功率密度。 问题:1、电流密度增加;2、VTH小使得抗干扰能力差;3、电源电压标准改变带来不便;4、漏源耗尽层宽度不按比例缩小。 2、恒压律,目前最普遍,仅尺寸缩小,电压保持不变。 优点:1、电源电压不变;2、提高了集成密度 问题:1、电流密度、功率密度极大增加;2、功耗增加;3、沟道电场增加,将产生热载流子效应、速度饱和效应等负面效应;4、衬底浓度的增加使PN结寄生电容增加,速度下降。 3、一般化缩小,对今天最实用,尺寸和电压按不同比例缩小。 限制因素:长期使用的可靠性、载流子的极限速度、功耗。

数字信号处理知识点总结

《数字信号处理》辅导 一、离散时间信号和系统的时域分析 (一) 离散时间信号 (1)基本概念 信号:信号传递信息的函数也是独立变量的函数,这个变量可以是时间、空间位置等。 连续信号:在某个时间区间,除有限间断点外所有瞬时均有确定值。 模拟信号:是连续信号的特例。时间和幅度均连续。 离散信号:时间上不连续,幅度连续。常见离散信号——序列。 数字信号:幅度量化,时间和幅度均不连续。 (2)基本序列(课本第7——10页) 1)单位脉冲序列 1,0()0,0n n n δ=?=?≠? 2)单位阶跃序列 1,0 ()0,0n u n n ≥?=?≤? 3)矩形序列 1,01 ()0,0,N n N R n n n N ≤≤-?=?<≥? 4)实指数序列 ()n a u n 5)正弦序列 0()sin()x n A n ωθ=+ 6)复指数序列 ()j n n x n e e ωσ= (3)周期序列 1)定义:对于序列()x n ,若存在正整数N 使()(),x n x n N n =+-∞<<∞ 则称()x n 为周期序列,记为()x n ,N 为其周期。 注意正弦周期序列周期性的判定(课本第10页) 2)周期序列的表示方法: a.主值区间表示法 b.模N 表示法 3)周期延拓 设()x n 为N 点非周期序列,以周期序列L 对作()x n 无限次移位相加,即可得到周期序列()x n ,即 ()()i x n x n iL ∞ =-∞ = -∑ 当L N ≥时,()()()N x n x n R n = 当L N <时,()()()N x n x n R n ≠ (4)序列的分解 序列共轭对称分解定理:对于任意给定的整数M ,任何序列()x n 都可以分解成关于/2c M =共轭对称的序列()e x n 和共轭反对称的序列()o x n 之和,即

数字信号处理总结与-习题(答案

对模拟信号(一维信号,是时间的函数)进行采样后,就是 离散 信号,再进行幅度量化后就是 数字信号。2、若线性时不变系统是有因果性,则该系统的单位取样响应序列h(n)应满足的充分必要条件是 当n<0时,h(n)=0 。3、序列)(n x 的N 点DFT 是)(n x 的Z 变换在 单位圆 的N 点等间隔采样。4、)()(5241 n R x n R x ==,只有 当循环卷积长度L ≥8 时,二者的循环卷积等于线性卷积。5、已知系统的单位抽样响应为h(n),则系统稳定的充要条件是 ()n h n ∞ =-∞ <∞ ∑ 6、用来计算N =16点DFT ,直接计算需要(N 2 )16*16=256_次复乘法,采用基2FFT 算法, 需要__(N/2 )×log 2N =8×4=32 次复乘法。7、无限长单位冲激响应(IIR )滤波器的基本结构有直接Ⅰ型,直接Ⅱ型,_级联型_和 并联型_四种。8、IIR 系统的系统函数为)(z H ,分别用直接型,级联型,并联型结构实现,其中 并 联型的运算速度最高。9、数字信号处理的三种基本运算是:延时、乘法、加法 10、两个有限长序列 和 长度分别是 和 ,在做线性卷积后结果长度是__N 1+N 2-1_。11、N=2M 点基2FFT ,共有 M 列蝶形, 每列有N/2 个蝶形。12、线性相位FIR 滤波器的零点分布特点是 互为倒数的共轭对 13、数字信号处理的三种基本运算是: 延时、乘法、加法 14、在利用窗函数法设计FIR 滤波器时,窗函数的窗谱性能指标中最重要的是___过渡带宽___与__阻带最小衰减__。16、_脉冲响应不变法_设计IIR 滤波器不会产生畸变。17、用窗口法设计FIR 滤波器时影响滤波器幅频特性质量的主要原因是主瓣使数字滤波器存在过渡带,旁瓣使数字滤波器存在波动,减少阻带衰减。18、单位脉冲响应分别为 和 的两线性系统相串联,其等效系统函数时域及频域表达式分别是h(n)=h 1(n)*h 2(n), =H 1(e j ω )× H 2(e j ω )。19、稳定系统的系统函数H(z)的收敛域包括 单位圆 。20、对于M 点的有限长序列x(n),频域采样不失真的条件是 频域采样点数N 要大于时域采样点数M 。 1、下列系统(其中y(n)为输出序列,x(n)为输入序列)中哪个属于线性系统?( y(n)=x(n 2 ) ) A.窗函数的截取长度增加,则主瓣宽度减小,旁瓣宽度减小 B.窗函数的旁瓣相对幅度取决于窗函数的形状,与窗函数的截取长度无关 C.为减小旁瓣相对幅度而改变窗函数的形状,通常主瓣的宽度会增加 D.窗函数法能用于设计FIR 高通滤波4、因果FIR 滤波器的系统函数H(z)的全部极点都在(z = 0 )处。6、已知某序列z 变换的收敛域为|z|<1,则该序列为(左边序列)。7、序列)1() (---=n u a n x n ,则)(Z X 的收敛域为(a Z <。8、在对连续信号均匀 采样时,要从离散采样值不失真恢复原信号,则采样周期T s 与信号最高截止频率f h 应满足关系(T s <1/(2f h ) ) 9、 )()(101n R n x =,)()(72n R n x =,用DFT 计算二者的线性卷积,为使计算量尽可能的少,应使DFT 的长度N 满足 (16=N )。10、线性相位FIR 滤波器有几种类型( 4) 。11、在IIR 数字滤波器的设计中,用哪种方法只适 合于片断常数特性滤波器的设计。(双线性变换法)12、下列对IIR 滤波器特点的论述中错误的是( C )。 A .系统的单位冲激响应h(n)是无限长的B.结构必是递归型的C.肯定是稳定的D.系统函数H(z)在有限z 平面(0<|z|<∞)上有极点 13、有限长序列h(n)(0≤n ≤N-1)关于τ= 2 1 -N 偶对称的条件是(h(n)=h(N-n-1))。14、下列关于窗函数设计法的说法中错误的是( D )。A.窗函数的截取长度增加,则主瓣宽度减小,旁瓣宽度减小 B.窗函数的旁瓣相对幅度取决于窗函数的形状,与窗函数的截取长度无关 C.为减小旁瓣相对幅度而改变窗函数的形状,通常主瓣的宽度会增加 D.窗函数法不能用于设计FIR 高通滤波器 15、对于傅立叶级数而言,其信号的特点是(时域连续非周期,频域连续非周期)。

数字信号处理复习总结-最终版

绪论:本章介绍数字信号处理课程的基本概念 0.1信号、系统与信号处理 1?信号及其分类 信号是信息的载体,以某种函数的形式传递信息。这个函数可以是时间域、频率域或其它域,但最基础的域是时域。 分类: 周期信号/非周期信号 确定信号/随机信号能量信号/功率信号 连续时间信号/离散时间信号/数字信号按自变量与函数值的取值形式不同分类: 2?系统 系统定义为处理(或变换)信号的物理设备,或者说,凡是能将信号加以变换以达到人们要求的各种设备都称为系统。 3. 信号处理 信号处理即是用系统对信号进行某种加工。包括:滤波、分析、变换、综合、压缩、估计、识别等等。所谓“数字信号处理”,就是用数值计算的方法,完成对信号的处理。 0.2数字信号处理系统的基本组成 数字信号处理就是用数值计算的方法对信号进行变换和处理。不仅应用于数字化信号的处理, 而且也可应用于模拟信号的处理。以下讨论模拟信号数字化处理系统框图。 精选

PrF ADC DSP DAC PoF (1)前置滤波器 将输入信号X a(t )中高于某一频率(称折叠频率,等于抽样频率的一半)的分量加以滤除。 (2)A/D变换器 在A/D变换器中每隔T秒(抽样周期)取出一次X a(t)的幅度,抽样后的信号称为离散信号。在A/D 变换器中的保持电路中进一步变换为若干位码。 (3)数字信号处理器(DSP) (4)D/A变换器 按照预定要求,在处理器中将信号序列x(n)进行加工处理得到输出信号y(n)。由一个二进制码流产生一个阶梯波形,是形成模拟信号的第一步。 (5)模拟滤波器 把阶梯波形平滑成预期的模拟信号;以滤除掉不需要的高频分量,生成所需的模拟信号y a(t)。 0.3数字信号处理的特点 (1)灵活性。(2)高精度和高稳定性。(3)便于大规模集成。(4)对数字信号可以存储、运算、系统可以获得高性能指标。 0.4数字信号处理基本学科分支 数字信号处理(DSP)一般有两层含义,一层是广义的理解,为数字信号处理技术 ----- D igitalSignalProcessing 另一层是狭义的理解,为数字信号处理器----- DigitalSignalProcesso。 0.5课程内容 该课程在本科阶段主要介绍以傅里叶变换为基础的“经典”处理方法,包括:(1)离散傅里叶变换及其快速算法。(2)滤波理论(线性时不变离散时间系统,用于分离相加性组合的信号,要求信号 频谱占据不同的频段)。 在研究生阶段相应课程为“现代信号处理”(AdvancedSignalProcessin)信号对象主要是随机信 号,主要内容是自适应滤波(用于分离相加性组合的信号,但频谱占据同一频段)和现代谱估计。 简答题: 1 ?按自变量与函数值的取值形式是否连续信号可以分成哪四种类型?

集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案:

数字信号处理学习心得体会

数字信号处理学习心得 体会

数字信号处理学习心得 一、课程认识和内容理解 《数字信号处理》是我们通信工程和电子类专业的一门重要的专业基础课程,主要任务是研究数字信号处理理论的基本概念和基本分析方法,通过建立数学模型和适当的数学分析处理,来展示这些理论和方法的实际应用。 数字信号处理技术正飞速发展,它不但自成一门学科,更是以不同形式影响和渗透到其他学科:它与国民经济息息相关,与国防建设紧密相连;它影响或改变着我们的生产、生活方式,因此受到人们普遍的关注。信息科学是研究信息的获取、传输、处理和利用的一门科学,信息要用一定形式的信号来表示,才能被传输、处理、存储、显示和利用,可以说,信号是信息的表现形式。这学期数字信号处理所含有的具体内容如下: 第一单元的课程我们深刻理解到时域离散信号和时域离散系统性质和特点;时域离散信号和时域离散系统时域分析方法;模拟信号的数字处理方法。 第二单元的课程我们理解了时域离散信号(序列)的傅立叶变换,时域离散信号Z变换,时域离散系统的频域分析。 第三单元的课程我们学习了离散傅立叶变换定义和性质,离散傅立叶变换应用——快速卷积,频谱分析。 第四单元的课程我们重点理解基 2 FFT算法——时域抽取法﹑频域抽取法,FFT的编程方法,分裂基FFT算法。 第五单元的课程我们学了网络结构的表示方法——信号流图,无限脉冲响

应基本网络结构,有限脉冲响应基本网络结构,时域离散系统状态变量分析法。 第六单元的课程我们理解数字滤波器的基本概念,模拟滤波器的设计,巴特沃斯滤波器的设计,切比雪夫滤波器的设计,脉冲响应不变法设计无限脉冲响应字数字滤波器,双线性变换法设计无限脉冲响应字数字滤波器,数字高通﹑带通﹑带阻滤波器的设计。 第七单元的课程我们学习了线性相位有限脉冲响应(FIR)数字滤波器,窗函数法设计有限脉冲响应(FIR)数字滤波器,频率采样法设计有限脉冲响应(FIR)数字滤波器 二、专业认识和未来规划 通信工程是一门工程学科,主要是在掌握通信基本理论的基础上,运用各种工程方法对通信中的一些实际问题进行处理。通过该专业的学习,可以掌握电话网、广播电视网、互联网等各种通信系统的原理,研究提高信息传送速度的技术,根据实际需要设计新的通信系统,开发可迅速准确地传送各种信息的通信工具等。 对于我们通信专业,我觉得是个很好的专业,现在这个专业很热门,这个专业以后就业的方向也很多,就业面很广。我们毕业以后工作,可以进入设备制造商、运营商、专有服务提供商以及银行等领域工作。当然,就业形势每年都会变化,所以关键还是要看自己。可以从事硬件方面,比如说PCB,别小看这门技术,平时我们在试验时制作的简单,这一技术难点就在于板的层数越多,要做的越稳定就越难,这可是非常有难度的,如果学好了学精了,也是非常好找工作的。也可以从事软件方面,这实际上要我们具备比较好的模电和数电的

用集成电路设计数字模拟电路芯片

设计目的: 1.掌握CMOS反相器和两级CMOS运算放大器的电路图基本原理。 2.熟练掌握并运用tanner作图软件。 3. 基本能根据仿真图对电路进行基本分析 一.CMOS反相器 1.工作原理 1).基本电路结构 2).开启电压|UTP|=UTN,且小于VDD。当uI= UIL=0V时,VTN截止,VTP导通, uO = UOH≈VDD当uI =UIH = VDD ,VTN导 通,VTP截止,uO =UOL≈0V 3.)工作特点 VTP和VTN总是一管导通而另一管截止,流过 VTP和VTN的静态电流极小(纳安数量级),因而 CMOS反相器的静态功耗极小。这是CMOS电路最 突出的优点之一。 2.用Tanner仿真 1)cmos反相器电路图

2)反相器 瞬时分析 生成t-spice文件如下: 进行仿真: 仿真状态窗口:

仿真结果报告文件:

3)反 相器 瞬时 分析 在 W-Ed it中 观看 仿真 结果 4)反相 器直流 分析在 W-Edit 中观看 仿真结 果 3.用Tanner画CMOS反相器版图

二.两级CMOS运算放大器设计 设计原理分析: 单级有源负载差动放大器的增益一般可达几十到几百倍左右。但作为运算放大器,这个增益是不够的,因此还需要多级级联。下面我们来分析两级CMOS运算放大器。 两级CMOS运算放大器的基本电路图如下:

V 9 V 3U r I D3 I D1 V 1 V 4U i I D4I D2V 2 V 5 U DD ?à??213¥C c A U o I SS V 8 V 7 V 6 U SS 图一 电路图 下面我们根据题设指标,tanner 下进行仿真,并进行分析: 已知: K N=μn C ox=25 μA /V2, K P=μpCox=12.5 μA /V2, Cc=5 pF ,功耗Pm ≤10 mW ,U DD=9 V , λN=0.01 V-1,λP=0.015 V-2,U TH=1V 。 要求:Aud>5000,单位增益带宽GB=3MHz ,压摆率SR=2V/us 。 1. 根据总功率Pm=10mW ,Udd=9V ,可求出允许总电流I=Pm/Udd=1100uA 2. 根据压摆率SR=2V/us,算出第一级偏置电流Iss. SR=Io1(max)/Cc ,Io1=Id4-Id2=Id1-Id2,Id1最大值为Iss,Id2最小值为0,故Io1(max)=Iss 。Iss=SR*Cc=10uA 。 区Iss=100uA,Id1q=Id2=50uA.

数字信号处理复习总结-最终版

绪论:本章介绍数字信号处理课程的基本概念。 0.1信号、系统与信号处理 1.信号及其分类 信号是信息的载体,以某种函数的形式传递信息。这个函数可以是时间域、频率域或其它域,但最基础的域是时域。 分类: 周期信号/非周期信号 确定信号/随机信号 能量信号/功率信号 连续时间信号/离散时间信号/数字信号 按自变量与函数值的取值形式不同分类: 2.系统 系统定义为处理(或变换)信号的物理设备,或者说,凡是能将信号加以变换以达到人们要求的各种设备都称为系统。 3.信号处理 信号处理即是用系统对信号进行某种加工。包括:滤波、分析、变换、综合、压缩、估计、识别等等。所谓“数字信号处理”,就是用数值计算的方法,完成对信号的处理。 0.2 数字信号处理系统的基本组成 数字信号处理就是用数值计算的方法对信号进行变换和处理。不仅应用于数字化信号的处理,而且

也可应用于模拟信号的处理。以下讨论模拟信号数字化处理系统框图。 (1)前置滤波器 将输入信号x a(t)中高于某一频率(称折叠频率,等于抽样频率的一半)的分量加以滤除。 (2)A/D变换器 在A/D变换器中每隔T秒(抽样周期)取出一次x a(t)的幅度,抽样后的信号称为离散信号。在A/D 变换器中的保持电路中进一步变换为若干位码。 (3)数字信号处理器(DSP) (4)D/A变换器 按照预定要求,在处理器中将信号序列x(n)进行加工处理得到输出信号y(n)。由一个二进制码流产生一个阶梯波形,是形成模拟信号的第一步。 (5)模拟滤波器 把阶梯波形平滑成预期的模拟信号;以滤除掉不需要的高频分量,生成所需的模拟信号y a(t)。 0.3 数字信号处理的特点 (1)灵活性。(2)高精度和高稳定性。(3)便于大规模集成。(4)对数字信号可以存储、运算、系统可以获得高性能指标。 0.4 数字信号处理基本学科分支 数字信号处理(DSP)一般有两层含义,一层是广义的理解,为数字信号处理技术——DigitalSignalProcessing,另一层是狭义的理解,为数字信号处理器——DigitalSignalProcessor。 0.5 课程内容 该课程在本科阶段主要介绍以傅里叶变换为基础的“经典”处理方法,包括:(1)离散傅里叶变换及其快速算法。(2)滤波理论(线性时不变离散时间系统,用于分离相加性组合的信号,要求信号频谱占据不同的频段)。 在研究生阶段相应课程为“现代信号处理”(AdvancedSignalProcessing)。信号对象主要是随机信号,主要内容是自适应滤波(用于分离相加性组合的信号,但频谱占据同一频段)和现代谱估计。 简答题: 1.按自变量与函数值的取值形式是否连续信号可以分成哪四种类型? 2.相对模拟信号处理,数字信号处理主要有哪些优点? 3.数字信号处理系统的基本组成有哪些?

数字信号处理学习心得

数字信号处理学习心得 XXX ( XXX学院XXX班) 一、课程认识和内容理解 《数字信号处理》是我们通信工程和电子类专业的一门重要的专业基础课程,主要任务是研究数字信号处理理论的基本概念和基本分析方法,通过建立数学模型和适当的数学分析处理,来展示这些理论和方法的实际应用。 数字信号处理技术正飞速发展,它不但自成一门学科,更是以不同形式影响和渗透到其他学科:它与国民经济息息相关,与国防建设紧密相连;它影响或改变着我们的生产、生活方式,因此受到人们普遍的关注。信息科学是研究信息的获取、传输、处理和利用的一门科学,信息要用一定形式的信号来表示,才能被传输、处理、存储、显示和利用,可以说,信号是信息的表现形式。这学期数字信号处理所含有的具体内容如下: 第一单元的课程我们深刻理解到时域离散信号和时域离散系统性质和特点;时域离散信号和时域离散系统时域分析方法;模拟信号的数字处理方法。 第二单元的课程我们理解了时域离散信号(序列)的傅立叶变换,时域离散信号Z变换,时域离散系统的频域分析。 第三单元的课程我们学习了离散傅立叶变换定义和性质,离散傅立叶变换应用——快速卷积,频谱分析。 第四单元的课程我们重点理解基2 FFT算法——时域抽取法﹑频域抽取法,FFT的编程方法,分裂

基FFT算法。 第五单元的课程我们学了网络结构的表示方法——信号流图,无限脉冲响应基本网络结构,有限脉冲响应基本网络结构,时域离散系统状态变量分析法。 第六单元的课程我们理解数字滤波器的基本概念,模拟滤波器的设计,巴特沃斯滤波器的设计,切比雪夫滤波器的设计,脉冲响应不变法设计无限脉冲响应字数字滤波器,双线性变换法设计无限脉冲响应字数字滤波器,数字高通﹑带通﹑带阻滤波器的设计。 第七单元的课程我们学习了线性相位有限脉冲响应(FIR)数字滤波器,窗函数法设计有限脉冲响应(FIR)数字滤波器,频率采样法设计有限脉冲响应(FIR)数字滤波器 二、专业认识和未来规划 通信工程是一门工程学科,主要是在掌握通信基本理论的基础上,运用各种工程方法对通信中的一些实际问题进行处理。通过该专业的学习,可以掌握电话网、广播电视网、互联网等各种通信系统的原理,研究提高信息传送速度的技术,根据实际需要设计新的通信系统,开发可迅速准确地传送各种信息的通信工具等。 对于我们通信专业,我觉得是个很好的专业,现在这个专业很热门,这个专业以后就业的方向也很多,就业面很广。我们毕业以后工作,可以进入设备制造商、运营商、专有服务提供商以及银行等领域工作。当然,就业形势每年都会变化,所以关键还是要看自己。可以从事硬件方面,比如说PCB,别小看这门技术,平时我们在试验时制作的简单,这一技术难点就在于板的层

数字集成电路知识点整理

Digital IC:数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统 第一章引论 1、数字IC芯片制造步骤 设计:前端设计(行为设计、体系结构设计、结构设计)、后端设计(逻辑设计、电路设计、版图设计) 制版:根据版图制作加工用的光刻版 制造:划片:将圆片切割成一个一个的管芯(划片槽) 封装:用金丝把管芯的压焊块(pad)与管壳的引脚相连 测试:测试芯片的工作情况 2、数字IC的设计方法 分层设计思想:每个层次都由下一个层次的若干个模块组成,自顶向下每个层次、每个模块分别进行建模与验证 SoC设计方法:IP模块(硬核(Hardcore)、软核(Softcore)、固核(Firmcore))与设计复用Foundry(代工)、Fabless(芯片设计)、Chipless(IP设计)“三足鼎立”——SoC发展的模式 3、数字IC的质量评价标准(重点:成本、延时、功耗,还有能量啦可靠性啦驱动能力啦之类的) NRE (Non-Recurrent Engineering) 成本 设计时间和投入,掩膜生产,样品生产 一次性成本 Recurrent 成本 工艺制造(silicon processing),封装(packaging),测试(test) 正比于产量 一阶RC网路传播延时:正比于此电路下拉电阻和负载电容所形成的时间常数 功耗:emmmm自己算 4、EDA设计流程 IP设计系统设计(SystemC)模块设计(verilog) 综合 版图设计(.ICC) 电路级设计(.v 基本不可读)综合过程中用到的文件类型(都是synopsys): 可以相互转化 .db(不可读).lib(可读) 加了功耗信息

数字ic设计实验报告

数字集成电路设计 实验报告 实验名称二输入与非门的设计 一.实验目的 a)学习掌握版图设计过程中所需要的仿真软件

b)初步熟悉使用Linux系统 二.实验设备与软件 PC机,RedHat,Candence 三.实验过程 Ⅰ电路原理图设计 1.打开虚拟机VMware Workstation,进入Linux操作系统RedHat。 2.数据准备,将相应的数据文件拷贝至工作环境下,准备开始实验。 3.创建设计库,在设计库里建立一个schematic view,命名为,然后进入电路 图的编辑界面。 4.电路设计 设计一个二输入与非门,插入元器件,选择PDK库(xxxx35dg_XxXx)中的nmos_3p3、 pmos_3p3等器件。形成如下电路图,然后check and save,如下图。 图1.二输入与非门的电路图 5.制作二输入与非门的外观symbol Design->Create Cellview -> From Cellview,在弹出的界面,按ok后出现symbol Generation options,选择端口排放顺序和外观,然后按ok出现symbol编辑界面。按照需 要编辑成想要的符号外观,如下图。保存退出。

图2.与非门外观 6.建立仿真电路图 方法和前面的“建立schemtic view”的方法一样,但在调用单元时除了调用analogL 库中的电压源、(正弦)信号源等之外,将之前完成的二输入与非门调用到电路图中,如下图。 图3.仿真电路图 然后设置激励源电压输出信号为高电平为3.5v,低电平为0的方波信号。 7.启动仿真环境 在ADE中设置仿真器、仿真数据存放路径和工艺库,设置好后选择好要检测的信号在电路中的节点,添加到输出栏中,运行仿真得到仿真结果图。

数字信号处理课程总结(全)

数字信号处理课程总结 以下图为线索连接本门课程的内容: ) (t x a ) (t y a ) (n x 一、 时域分析 1. 信号 ? 信号:模拟信号、离散信号、数字信号(各种信号的表示及关系) ? 序列运算:加、减、乘、除、反褶、卷积 ? 序列的周期性:抓定义 ? 典型序列:)(n δ(可表征任何序列)、)(n u 、)(n R N 、 n a 、jwn e 、)cos(θ+wn ∑∞ -∞ =-= m m n m x n x )()()(δ 特殊序列:)(n h 2. 系统 ? 系统的表示符号)(n h ? 系统的分类:)]([)(n x T n y = 线性:)]([)]([)]()([2121n x bT n x aT n bx n ax T +=+ 移不变:若)]([)(n x T n y =,则)]([)(m n x T m n y -=- 因果:)(n y 与什么时刻的输入有关 稳定:有界输入产生有界输出 ? 常用系统:线性移不变因果稳定系统 ? 判断系统的因果性、稳定性方法 ? 线性移不变系统的表征方法: 线性卷积:)(*)()(n h n x n y = 差分方程: 1 ()()()N M k k k k y n a y n k b x n k === -+ -∑∑

3. 序列信号如何得来? ) (t x a ) (n x 抽样 ? 抽样定理:让)(n x 能代表)(t x a ? 抽样后频谱发生的变化? ? 如何由)(n x 恢复)(t x a ? )(t x a = ∑ ∞ -∞ =--m a mT t T mT t T mT x ) ()] (sin[ ) (π π 二、 复频域分析(Z 变换) 时域分析信号和系统都比较复杂,频域可以将差分方程变换为代数方程而使分析简化。 A . 信号 1.求z 变换 定义:)(n x ?∑∞ -∞ =-= n n z n x z X )()( 收敛域:)(z X 是z 的函数,z 是复变量,有模和幅角。要其解析,则z 不能取让)(z X 无穷大的值,因此z 的取值有限制,它与)(n x 的种类一一对应。 ? )(n x 为有限长序列,则)(z X 是z 的多项式,所以)(z X 在z=0或∞时可 能会有∞,所以z 的取值为:∞<

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

集成电路设计基础 课后答案

班级:通信二班姓名:赵庆超学号:20071201297 7,版图设计中整体布局有哪些注意事项? 答:1版图设计最基本满足版图设计准则,以提高电路的匹配性能,抗干扰性能和高频工作性能。 2 整体力求层次化设计,即按功能将版图划分为若干子单元,每个子单元又可能包含若干子单元,从最小的子单元进行设计,这些子单元又被调用完成较大单元的设计,这种方法大大减少了设计和修改的工作量,且结构严谨,层次清晰。 3 图形应尽量简洁,避免不必要的多边形,对连接在一起的同一层应尽量合并,这不仅可减小版图的数据存储量,而且版图一模了然。 4 在构思版图结构时,除要考虑版图所占的面积,输入和输出的合理分布,较小不必要的寄生效应外,还应力求版图与电路原理框图保持一致(必要时修改框图画法),并力求版图美观大方。 8,版图设计中元件布局布线方面有哪些注意事项? 答:1 各不同布线层的性能各不相同,晶体管等效电阻应大大高于布线电阻。高速电路,电荷的分配效应会引起很多问题。 2 随器件尺寸的减小,线宽和线间距也在减小,多层布线层之间的介质层也在变薄,这将大大增加布线电阻和分布电阻。 3 电源线和地线应尽可能的避免用扩散区和多晶硅布线,特别是通过

较大电流的那部分电源线和地线。因此集成电路的版图设计电源线和地线多采用梳状布线,避免交叉,或者用多层金属工艺,提高设计布线的灵活性。 4 禁止在一条铝布线的长信号霞平行走过另一条用多晶硅或者扩散区布线的长信号线。因为长距离平行布线的两条信号线之间存在着较大的分布电容,一条信号线会在另一条信号线上产生较大的噪声,使电路不能正常工作。、 5 压点离开芯片内部图形的距离不应少于20um,以避免芯片键和时,因应力而造成电路损坏。

数字集成电路设计与分析

问答: Point out design objects in the figure such as :design, cell, reference, port, pin, net, then write a command to set 5 to net A Design: top Reference: ADD DFF Cell: U1 U2 Port: A B clk sum Pin: A B D Q Net: A B SIN Set_load 5 [get_nets A] why do we not choose to operate all our digital circuits at these low supply voltages? 答:1)不加区分地降低电源电压虽然对减少能耗能正面影响,但它绝对会使门的延时加大 2)一旦电源电压和本征电压(阈值电压)变得可比拟,DC特性对器件参数(如晶体管 阈值)的变化就变得越来越敏感 3)降低电源电压意味着减少信号摆幅。虽然这通常可以帮助减少系统的内部噪声(如串扰引起的噪声),但它也使设计对并不减少的外部噪声源更加敏感) 问道题: 1.CMOS静态电路中,上拉网络为什么用PMOS,下拉网络为什么用NMOS管 2.什么是亚阈值电流,当减少VT时,V GS =0时的亚阈值电流是增加还是减少? 3.什么是速度饱和效应 4.CMOS电压越低,功耗就越少?是不是数字电路电源电压越低越好,为什么? 5.如何减少门的传输延迟? P203 6.CMOS电路中有哪些类型的功耗? 7.什么是衬垫偏置效应。 8.gate-to-channel capacitance C GC,包括哪些部分 VirSim有哪几类窗口 3-6. Given the data in Table 0.1 for a short channel NMOS transistor with V DSAT = 0.6 V and k′=100 μA/V2, calculate V T0, γ, λ, 2|φf|, and W / L:

IC设计基础笔试集锦

IC设计基础(流程、工艺、版图、器件)笔试集锦 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目) 什么是MCU? MCU(Micro Controller Unit),又称单片微型计算机(Single Chip Microcomputer),简称单片机,是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O接口集成在一片芯片上,形成芯片级的计算机。 MCU的分类 MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASH ROM等类型。MASK ROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSH ROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。 RISC为Reduced Instruction Set Computing的缩写,中文翻译为精简执令运算集,好处是CPU核心 很容易就能提升效能且消耗功率低,但程式撰写较为复杂;常见的RISC处理器如Mac的Power PC 系列。 CISC就是Complex Instruction Set Computing的缩写,中文翻译为复杂指令运算集,它只是CPU分类的一种,好处是CPU所提供能用的指令较多、程式撰写容易,常见80X86相容的CPU即是此类。 DSP有两个意思,既可以指数字信号处理这门理论,此时它是Digital Signal Processing的缩写;也可以是Digital Signal Processor的缩写,表示数字信号处理器,有时也缩写为DSPs,以示与理论的区别。 2、FPGA和ASIC的概念,他们的区别。(未知) 答案:FPGA是可编程ASIC。 ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一 个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与 门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计 制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)otp是一次可编程(one time programme),掩膜就是mcu出厂的时候程序已经固化到里面去了,不能在写程序进去!( 4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目) 5、描述你对集成电路设计流程的认识。(仕兰微面试题目) 6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目) 7、IC设计前端到后端的流程和eda工具。(未知) 8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知) 9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题) 10、写出asic前期设计的流程和相应的工具。(威盛) 11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试) 先介绍下IC开发流程: 1.)代码输入(design input) 用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence); viewlogic (viewdraw) 2.)电路仿真(circuit simulation) 将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: AVANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.)逻辑综合(synthesis tools) 逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真 中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再 仿真。最终仿真结果生成的网表称为物理网表。 12、请简述一下设计后端的整个流程?(仕兰微面试题目) 13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元 素?(仕兰微面试题目) 14、描述你对集成电路工艺的认识。(仕兰微面试题目)

相关文档
最新文档