计数器及其应用

计数器及其应用
计数器及其应用

实验八 计数器及其应用

一、实验目的

1、熟悉中规模集成计数器的逻辑功能及使用方法

2、掌握用74LS160/74LS161构成任意进制计数器的方法

3、熟悉中规模集成计数器各输出波形及应用

4、学习用集成触发器构成计数器的方法 二、实验任务

1、利用D 触发器设计四位二进制加法/减法计数器。

2、利用74LS161设计十二进制计数器,要求用置零法和置数法二种方法实现。

3、利用多片74LS161设计七十二进制计数器。

三、实验原理

计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能,计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS 触发器、T 触发器、D 触发器及JK 触发器等。计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等

计数器按计数进制不同,可分为二进制计数器、十进制计数器、其他进制计数器和可变进制计数器,若按计数单元中各触发器所接收计数脉冲和翻转顺序或计数功能来划分,则有异步计数器和同步计数器两大类,以及加法计数器、减法计数器、加/减计数器等,如按预置和清除方式来分,则有并行预置、直接预置、异步清除和同步清除等差别,按权码来分,则有“8421”码,“5421”码、余“3”码等计数器,按集成度来分,有单、双位计数器等等,其最基本的分类如下:

计数器的种类??

?

?

?

??

????

?

?

??????????

?????进制计数器十进制计数器二进制计数器进制可逆计数器

减法计数器加法计数器功能异步计数器

同步计数器结构N 、、、321

1、 用D 触发器构成异步二进制加/减计数器

图3.8.1是用四只D 触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D 触发器接成T'触发器,再由低位触发器的Q 端和高一位的CP 端相连接。

图3.8.1四位二进制异步加法计数器

2、中规模集成计数器

74LS161是四位二进制可预置同步计数器,由于它采用4个主从JK触发器作为记忆单元,故又称为四位二进制同步计数器,其集成芯片管脚如图3.8.2所示

管脚符号说明

Vcc:电源正端,接+5V

:异步置零(复位)端

R D

CP:时钟脉冲

LD:预置数控制端

A、B、C、D:数据输入端

QA、QB、QC、QD:输出端

RCO:进位输出端

图3.8.2 74LS161管脚图

该计数器由于内部采用了快速进位电路,所以具有较高的计数速度。各触发器翻转是靠时钟脉冲信号的正跳变上升沿来完成的。时钟脉冲每正跳变一次,计数器内各触发器就同时翻转一次,74LS161的功能表如表3.8.1所示:

表3.8.1 74LS161逻辑功能表

若所要求的进制已超过16,则可通过几个74LS161进行级联来实现,在满足计数条件的情况下有如下方法:

1)同步联接法:

CP是共同的,只是把第一级的进位输出RCO接到下一级的ET端即可,平时RCO=0

则计数器2不能工作,当第一级计满时,RCO=1,最后一个CP使计数器1清零,同时计数器2计一个数,这种接法速度不快,不论多少级相联,CP的脉宽只要大于每一级计数器延迟时间即可。其框图如图3.8.3

2) 异步联接法:

把第一级的进位输出端RCO接到下一级的CP端,平时RCO=0则计数器2因没有计数脉冲而不能工作,当第一级计满时,RCO=1,计数器2产生第一个脉冲,开始计第1个数,这种接法速度慢,若多级相联,其总的计数时间为各个计数器延迟时间之和。其框图如图3.8.4所示

图3.8.3 同步联接法框图图3.8.4异步联接法框图

4、实现任意进制计数器

由于74LS161的计数容量为16,即计16个脉冲,发生一次进位,所以可以用它构成16进制以内的各进制计数器,实现的方法有两种:置零法(复位法)和置数法(置位法)。(1) 用复位法获得任意进制计数器

假定已有N进制计数器,而需要得到一个M进制计数器时,只要M<N,用复位法使计数器计数到M时置“0”,即获得M进制计数器。

(2) 利用预置功能获M进制计数器

置位法与置零法不同,它是通过给计数器重复置入某个数值的的跳越N-M个状态,从而获得M进制计数器的,如图所法。置数操作可以在电路的任何一个状态下进行。这种方法适用于有预置功能的计数器电路。图3.8.5为上述二种方法的原理示意图

(a)(b)

3.8.5获得任意进制计数器的两种方法

(a)置零法(b)置数法

例如:利用两片十进制计数器74LS161接成35进制计数器?

本例可以采用整体置零方式进行。首先将两片74LS161以同步级联的方式接成16×16=256进制的计数器。当计数器从全0状态开始计数时,计入了35个脉冲时,经门电路译码产生一个低电平信号立刻将两片74LS161同时置零,于是便得到了35进制计数器。电路连接图如图3.8.6所示

图3.8.6 二片74LS161构成35进制计数器电路连接图

5、74LS160与74LS161外引脚及逻辑功能相同。

四、实验设备与器件

1、THD-4型数字电路实验箱

2、GOS-620示波器

3、CC4013×2(74LS74)、CC40192×3(74LS192)、CC4011(74LS00)、CC4012(74LS20)、74LS161(74LS160)

五、实验内容与步骤

1、利用CC4013或74LS74 D触发器设计四位二进制异步加法、减法计数器并测试其

逻辑功能。

1)画出电路连接图

2)用点脉冲CP,观察计数状态,画出状态转换图,分别将QA、QB、QC、QD的波形图绘在下图中

QA

QB

QC

QD

2、测试74LS161或74LS160的逻辑功能。

1)分别画出置零法、置数法的电路连接图,用点脉冲CP,观察计数状态,画出状态转换图

2)在CP端加入连续脉冲信号,用示波器观察输出波形,并将QA、QB、QC、QD的波形图绘在下图中

QA

QB

QC

QD

3、在熟悉74LS161逻辑功能的基础上,利用74LS161采用置零法、置数法两种方法设计12进制计数器

4、利用两片74LS161设计72进制计数器

六、实验报告要求

1、画出实验线路图及状态转换图,记录、整理实验现象及实验所观察到的有关波形,并对实验结果进行分析

2、总结使用集成计数器的体会

七、实验预习要求

1、复习计数器的有关内容、

2、阅读实验原理,对照功能表熟悉74LS160/74LS161各管脚及其功能

3、根据实验要求画出电路图

八、思考问题

1、计数器对计数脉冲的频率有何要求?如何估算计数脉冲的最高频率?

2、74LS161为2-16进制计数器,能否作寄存器?如何应用?试写出设计过程?

3、如果采用下降沿有效的边沿D触发器设计四位二进制加法、减法计数器,电路应该如何连接?如果将D触发器换成JK触发器,电路又将如何连接??

九、注意事项

1、计数器的输出端QD为高位,QA为低位。

2、74LS161或74LS160等集成电路所用电源电压不得超过+5V或接反,其输出端不得接地或直接接+5V电压,以免损坏。

定时器、计数器操作与应用实验报告

实验三 定时器、计数器操作与应用实验报告 、实验目的 1、 了解和熟悉FX 系列可编程序控制器的结构和外 部接线方法; 2、 了解 和熟 悉 GX Developer Version 7.0 软件的 使用 方法 ; 3、 掌握 可编 程序 控制器 梯形 图程 序的 编制 与调 试。 二、实验要求 仔 细阅 读实 验指 导书 中关 于编 程软 件的 说明 ,复习 教材 中有 关内 容 , 分 析程 序运 行结 果。 三、实验设备 2 、 开关 量输 入 / 输出 实验 箱 3、 计算 机 4、 编程 电缆 注 意: 1) 开关量输入/输出实验 箱内的钮子开关用来产生模拟的 开关量输入 信 号; 2) 开关量输入/输出实验箱内的LED 用来指示开关 量输出信号; 3) 编程电缆在连接PLC 与计算机时请注意方向。 四、实验内容 1 、梯形图 1 、 FX 系列可 编程 序控 制器 一只 一套 5、 GX Developer Version 7.0 软件 一套

2、梯形图程序 0LD xooo 1OUT YOOO X001 2LD 3OR¥001 4AN I X002 5OUT Y001 6OUT TO K50 9MPS 10AHI TO 11OUT Y002 12MPP 13ASD TO 14OUT¥003 15LD X003 16RST CO 18LD X004 19OUT CO K5 22LD CO 23OUT Y004 24END 3、时序图

r 时序10 □ ?Si 正在进荷囲1SL 金冃勖厂手祜r XI广X3厂X5厂K1Q拧应C 40 J2fl MIB -380 .360 '340 -33 MW 脚 M 创Q,220,200,13Q -1?-14D ,1如■!? 如也 40 如厂「 五、实验步骤 1、程序的编辑、检查和修改; 2、程序的变换; 3、程序的离线虚拟设备仿真测试; 4、程序写入PLC; 5、用PLC运行程序; 6、比较程序的分析结果与实际运行结果。 六、实验报告 1、实验梯形图程序的编写; 2、梯形图程序的理论分析与结果; 3、梯形图程序的实际运行结果; 4、结论。 七、实验心得 通过这样一次实验,我对GX Developer Version 7.0 软件的使用方 法更加的熟悉了,也了解到在实验中需要我们集中精力,仔细认真地完成■XDU "Tlr-.Ll-t-1!- D LJ D-IT--1 z?E I4J 一 — Ti ll IL — 」 ill-t-ll-r — 1

集成计数器及寄存器的运用 实验报告

电子通信与软件工程 系2013-2014学年第2学期 《数字电路与逻辑设计实验》实验报告 --------------------------------------------------------------------------------------------------------------------- 班级: 姓名: 学号: 成绩: 同组成员: 姓名: 学号: --------------------------------------------------------------------------------------------------------------------- 一、 实验名称:集成计数器及寄存器的运用 二、实验目的: 1、熟悉集成计数器逻辑功能与各控制端作用。 2、掌握计数器使用方法。 三、 实验内容及步骤: 1、集成计数器74LS90功能测试。74LS90就是二一五一十进制异步计数器。逻辑简图为图8、1所示。 四、 五、 图8、1 六、 74LS90具有下述功能: ·直接置0(1)0(2)0(.1)R R ,直接置9(S9(1,·S,.:,=1) ·二进制计数(CP 、输入QA 输出) ·五进制计数(CP 2输入Q D Q C Q B 箱出) ·十进制计数(两种接法如图8.2A 、B 所示) ·按芯片引脚图分别测试上述功能,并填入表 8、1、表8、2、表8、3中。

图8、2 十进制计数器 2、计数器级连 分别用2片74LS90计数器级连成二一五混合进制、十进制计数器。 3、任意进制计数器设计方法 采用脉冲反馈法(称复位法或置位法)。可用74LS90组成任意模(M)计数器。图8、3就是用74LS90实现模7计数器的两种方案,图(A)采用复位法。即计数计到M异步清0。图(B)采用置位法,即计数计到M一1异步置0。 图8、3 74LS90 实现七进进制计数方法 (1)按图8、3接线,进行验证。 (2)设计一个九进制计数器并接线验证。 (3)记录上述实验的同步波形图。 四、实验结果:

实验六计数器及其应用

实验六计数器及其应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 3、运用集成计数计构成1/N分频器 二、实验原理 1、用D触发器构成异步二进制加/减计数器 图1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D触发器接成T'触发器,再由低位触发器的Q端和高一位的CP端相连接。 图1 四位二进制异步加法计数器 2、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图2所示。 图2 CC40192引脚排列及逻辑符号 图中LD—置数端 CP U —加计数端 CP D —减计数端

CO—非同步进位输出端BO—非同步借位输出端 D 0、D 1 、D 2 、D 3 —计数器输入端 Q 0、Q 1 、Q 2 、Q 3 —数据输出端 CR—清除端 CC40192(同74LS192,二者可互换使用)的功能如表9-1,说明如下: 表9-1 3、计数器的级联使用 图3是由CC40192利用进位输出CO控制高一位的CP U 端构成的加数级联图。 图3 CC40192级联电路 4、实现任意进制计数 (1) 用复位法获得任意进制计数器 假定已有N进制计数器,而需要得到一个M进制计数器时,只要M<N,用复位法使计数器计数到M时置“0”,即获得M进制计数器。如图4所示为一个由CC40192 十进制计数器接成的6进制计数器。 (2) 利用预置功能获M进制计数器 图4 六进制计数器

三、实验设备与器件 1、+5V直流电源 2、双踪示波器 3、连续脉冲源 4、单次脉冲源 5、逻辑电平开关 6、逻辑电平显示器 7、译码显示器 8、 CC4013×2(74LS74)、CC40192×3(74LS192)、CC4011(74LS00) CC4012(74LS20) 四、实验内容 1、用CC4013或74LS74 D触发器构成4位二进制异步加法计数器。 (1) 按图9-1接线,R D 接至逻辑开关输出插口,将低位CP 端接单次脉冲源, 输出端Q 3、Q 2 、Q 3 、Q 接逻辑电平显示输入插口,各S D接高电平“1”。 (2) 清零后,逐个送入单次脉冲,观察并列表记录 Q 3~Q 状态。 (3) 将单次脉冲改为1HZ的连续脉冲,观察Q 3~Q 的状态。 (4) 将1Hz的连续脉冲改为1KHz,用双踪示波器观察CP、Q 3、Q 2 、Q 1 、Q 端波 形,描绘之。 5) 将图9-1电路中的低位触发器的Q端与高一位的CP端相连接,构成减法计 数器,按实验内容2),3),4)进行实验,观察并列表记录Q 3~Q 的状态。 2、测试CC40192或74LS192同步十进制可逆计数器的逻辑功能 (1) 清除:CR=1 (2) 置数:CR=0,数据输入端输入任意一组二进制数,令LD= 0,观察计数译码显示输出。 (3) 加计数:CR=0,LD=CP D =1,CP U 接单次脉冲源。 (4) 减计数:CR=0,LD=CP U =1,CP D 接单次脉冲源。 3、图9-3所示,用两片CC40192组成两位十进制加法计数器,输入1Hz连续计数脉冲,进行由00—99累加计数,记录之。 4、按图4电路进行实验,记录之。

项目二十一集成同步计数器常用芯片及其应用(精)

项目二十一:集成同步计数器常用芯片及其应用 内容简介 在本次课中,我们将介绍集成同步计数器等常用芯片及其应用。 本次授课内容为课本P134-139 教学组织 1.常用中规模集成计数器 (1)常用异步集成计数器74LS290 74LS290芯片的符号图和管脚排列如下图所示。其中,S9(1)、S9(2)称为置“9”端,R0(1)、R0(2)称为置“0”端;CP0、CP1端为计数时钟输入端,Q3Q2Q1Q0为输出端,NC表示空脚。 74LS290具有以下功能: 置“9”功能:当S9(1)=S9(2)=1时,不论其他输入端状态如何,计数器输出Q3 Q2 Q1 Q0=1001,而(1001)2=(9)10,故又称为异步置数功能。 置“0”功能:当S9(1)和S9(2)不全为1,并且R0(1)=R0(2)=1时,不论其他输入端状态如何,计数器输出Q3 Q2 Q1 Q0=0000,故又称为异步清零功能或复位功能。 计数功能:当S9(1)和S9(2)不全为1,并且R0(1)和R0(2)不全为1时,输入计数脉冲CP,计数器开始计数。计数脉冲由CP0输入,从Q0输出时,则构成二进制计数器;计数脉冲由CP1输入,输出为Q2Q1Q0时,则构成五进制计数器;若将Q0和CP1相连,计数脉冲由CP0输入,输出为Q3Q2Q1Q0时,则构成十进制(8421码)计数器;若将Q3和CP0相连,计数脉冲由CP1输入,输出为Q3Q2Q1Q0时,则构成十进制(5421码)计数器。因此,74LS290又称为“二—五—十进制型集成计数器”。 (2)常用同步集成计数器74LS161 74LS161是一种同步4位二进制加法集成计数器。其符号图和管脚的排列如下图(a)、(b)所示,逻辑功能如下表所示。 74LS290的符号图和管脚图 74LS161的符号图和管脚图

通用计数器及其应用

第七章通用计数器及其应用 电子计数器是一种多功能的电子测量仪器。它利用电子学的方法测出一定时间内输入的脉冲数目,并将结果以数字形式显示出来。通常电子计数器按照它的功能可分为以下三类:1)通用计数器通常指多功能计数器。它可以用于测量频率、频率比、周期、时间间隔和累加计数等,如配以适当的插件,还可以测量相位、电压等电量。 2)频率计数器其功能为测频和计数。测频范围很宽,在高频和微波范围内的计数器均属于此类。 3)计算计数器带有微处理器、具有计算功能。它除具有计数器功能外,还能进行数学运算、求解比较复杂的方程式,能依靠程控进行测量、计算和显示等全部工作。 计数及显示单元 图7-1 通用电子计数器方框图 一、通用电子计数器的基本组成 电子计数器的基本组成原理方框图见图7-1。这是一种通用多功能电子计数器。电路由A、B输入通道、时基产生与变换单元、主门、控制单元、计数及显示单元等组成。电子计数器的基本功能是频率测量和时间测量,但测量频率和测量时间时,加到主门和控制单元的信号源不同,测量功能的转换由开关来操纵。累加计数时,加到控制单元的信号则由人工控制。至于计数器的其它测量功能,如频率比测量、周期测量等则是基本功能的扩展。(一)A、B输入通道 输入通道送出的信号,经过主门进入计数电路,它是计数电路的触发脉冲源。为了保证计数电路正确工作,要求该信号具有一定的波形、极性和适当的幅度,但输入被测信号的幅度不同,波形也多种多样,必须利用输入通道对信号进行放大、整形,使其变换为符合主门

要求的计数脉冲信号。输入通道共有两路。由于两个通道在测试中的作用不同,也各有其特点。 A输入通道是计数脉冲信号的输入电路。其组成如图7-2(a)所示。 衰减器 射极 跟随器放大器 施密特 电 路至主门 选通门 A门选通信号 平调节 射极 跟随器放大器 施密特 电 路至门控 选通门 B门选通信号 输入电 倒相器双稳 (a) A输入通道 (b) B输入通道 7-2 输入通道方框图 当测量频率时,计数脉冲是输入的被测信号经整形而得到的。当测量时间时,该信号是仪器内部晶振信号经倍频或分频后再经整形而得到的。究竟选用何种信号,由选通门的选通控制信号决定。 B输入通道是闸门时间信号的通路,用于控制主门是否开通。该信号经整形后用来触发双稳态触发器,使其翻转。以一个脉冲启开主门,而以随后的一个脉冲关门。两脉冲的时间间隔为开门时间。在此期间,计数器对经过A通道的计数脉冲计数。为保证信号在一定的电平时触发,输入端可对输入信号电平进行连续调节。在施密特电路之后还接有倒相器,从而可任意选择所需要的触发脉冲极性。 有的通用计数器闸门时间信号通路有两路,分别称为 B、C通道。两通道的电路结构完 全相同。B通道用来作门控双稳的“启动”通道,使双稳电路翻转;C通道用作门控双稳“停止”通道,使其复原。两通道的输出经由或门电路加至门控双稳触发器的输入端。 (二)主门 主门又称信号门或闸门,对计数脉冲能否进入计数器起着闸门的作用。主门电路是一个标准的双输入逻辑门,如图7-3所示。它的一个输入端接入来自门控双稳触发器的门控信号,另一个输入端则接收计数用脉冲信号。在门控信号有效期间,计数脉冲允许通过此门进入计数器计数。 在测量频率时的门控信号为仪器内部的闸门时间选择电路送来的标准信号,在测量周期或时间时则是整形后的被测信号。 计数脉冲输入 门控信号输入 T T & 图7-3 主门电路

单片机实验之定时器计数器应用实验二

一、实验目的 1、掌握定时器/计数器计数功能的使用方法。 2、掌握定时器/计数器的中断、查询使用方法。 3、掌握Proteus软件与Keil软件的使用方法。 4、掌握单片机系统的硬件和软件设计方法。 二、设计要求 1、用Proteus软件画出电路原理图,单片机的定时器/计数器以查询方式工作,设定计数功能,对外部连续周期性脉冲信号进行计数,每计满100个脉冲,则取反P1.0口线状态,在P 1.0口线上接示波器观察波形。 2、用Proteus软件画出电路原理图,单片机的定时器/计数器以中断方式工作,设定计数功能,对外部连续周期性脉冲信号进行计数,每计满200个脉冲,则取反P1.0口线状态,在P 1.0口线上接示波器观察波形。 三、电路原理图 六、实验总结 通过本实验弄清楚了定时/计数器计数功能的初始化设定(TMOD,初值的计算,被计数信号的输入点等等),掌握了查询和中断工作方式的应用。 七、思考题 1、利用定时器0,在P1.0口线上产生周期为200微秒的连续方波,利用定时器1,对 P1.0口线上波形进行计数,满50个,则取反P1.1口线状态,在P 1.1口线上接示波器观察波形。 答:程序见程序清单。

四、实验程序流程框图和程序清单。 1、定时器/计数器以查询方式工作,对外部连续周期性脉冲信号进行计数, 每计满100个脉冲,则取反P1.0口线状态。 汇编程序: START: LJMP MAIN ORG 0100H MAIN: MOV IE, #00H MOV TMOD, #60H MOV TH1, #9CH MOV TL1, #9CH SETB TR1 LOOP: JNB TF1, LOOP CLR TF1 CPL P1.0 AJMP LOOP END C语言程序: #include sbit Y=P1^0; void main() { EA=0; ET1=0; TMOD=0x60; TH1=0x9C; TL1=0x9C; while(1) { TR1=1; while(!TF1); TF1=0; Y=!Y; } }

计数器及其应用

计数器的应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 3、运用集成计数器构成1/N分频器 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器、十进制计数器和任意进制计数器。根据计数器的增减趋势,又分为加法、减法和可逆计数器。还有可预制数和可变程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。 1、用D触发器构成异步二进制加/减计数器 图7—1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D触发器接成T触发器,在由低位触发器的Q端和高一位的CP端相连接。 若将图7—1稍加改动,即将低位触发器的Q端与高一位的CP端相连接,即构成了一个4位二进制减法计数器。

2、中规模同步集成计数器 同步集成计数器基本类型见表7-1。 表7-1 同步计数器芯片型号和功能 ⑴同步4位二进制计数器 74LS161的功能见表7-2,74LS163的功能见表7-3,引脚图见图7-2。LD 为置数控制端,CLR 为置0控制端, D 0~D 3为并行数据输入端,Q 0~Q 3为输出端,CO 为进位输出端。 ⑵4位十进制同步计数器 74LS160的功能见表7-4,引脚图见图7-2。74LS162的功能见表7-5,引脚图见图7-2。 表7-2 74LS161的功能表 输 入 输 出 CP LD CLR EP ET Q × × 0 × × 全“L ” ↑ 0 1 × × 预置数据 ↑ 1 1 1 1 计数 × 1 1 0 × 保持 × 1 1 × 保持 型号 功能 型号 功能 74LS161 4位十进制同步计数器(异步 清除) 74LS190 4位十进制加/减同步计数器 74LS163 4位二进制同步计数器(异步 清除) 74LS191 4位二进制加/减同步计数器 74LS160 4位十进制同步计数器(同步 清除) 74LS192 4位十进制加/减同步计数器(双时钟) 74LS162 4位二进制同步计数器(同步 清除) 74LS193 4位二进制加/减同步计数器(双时钟)

实验三定时器计数器应用实验一

定时器/计数器应用实验一 设计性试验 2012年11月14日星期三第三四节课 一、实验目的 1、掌握定时器/计数器定时功能的使用方法。 2、掌握定时器/计数器的中断、查询使用方法。 3、掌握Proteus软件与Keil软件的使用方法。 4、掌握单片机系统的硬件和软件设计方法。 二、设计要求 1、用Proteus软件画出电路原理图,单片机的定时器/计数器以查询方式工作,在P1.0口线上产生周期为200μS的连续方波,在P 1.0口线上接示波器观察波形。 2、用Proteus软件画出电路原理图,单片机的定时器/计数器以中断方式工作,在P1.1口线上产生周期为240μS的连续方波,在P 1.1口线上接示波器观察波形。 三、电路原理图

四、实验程序流程框图和程序清单及实验结果 /********* 设计要求:(a)单片机的定时器/计数器以查询方式工作, 在P1.0口线上产生周期为200us的连续方波 编写:吕小洋 说明:用定时器1的方式1以查询方式工作 时间:2012年11月10日 ***************/ ORG 0000H 开始 系统初始化

START: LJMP MAIN ORG 0100H MAIN: MOV SP, #2FH CLR EA ;关总中断 CLR ET1 ;禁止定时器1中断 MOV TMOD, #00010000B ;设置定时器1为工作方式1 MOV TH1, #0FFH ;设置计数初值 MOV TL1, #9CH SETB TR1 ;启动定时器 LOOP: JNB TF1, LOOP ;查询计数是否溢出 MOV TH1, #0FFH ;重置计数初值 MOV TL1, #9CH CLR TF1 ;清除计数溢出标志 CPL P1.0 ;输出取反 LJMP LOOP ;重复取反 END

集成二-五-十计数器的应用课案

一、实验目的 1.掌握集成二~五~十进制计数器的逻辑功能; 2.学会集成二~五~十进制计数器的应用。 二、实验原理 1.集成二~五~十进制计数器7490简介 集成二~五~十进制计数器内部电路如图 在Cp0作用下FF0完成一位二进制计数; 在Cp1作用下FF1、FF2、FF3按421码完成五进制计数;S91S92=1时,计数器Q3Q2Q1Q0完成置9功能; S91S92=0、R01R02=1时,计数器Q3Q2Q1Q0完成置0功能。 2.集成二~五~十进制计数器7490功能表 3.集成二~五~十进制计数器7490的应用

(1)构成8421BCD十进制加法异步计数器 由于该芯片内二~五进制计数器均为下降沿触发,所以只需将421码五进制加法计数器的时钟Cp1接二进制计数器的输出Q0即可。 如图: (2)构成5421BCD十进制加法异步计数器 由于该芯片内二~五进制计数器均为下降沿触发,所以只需将421码五进制加法计数器的Q3输出端接二进制计数器的时钟Cp0即可。 如图: (3)构成模10以内任意进制计数器 ①反馈置0法:通过设计外部门电路使S91S92=0、R01R02=1。 ②反馈置9法:通过设计外部门电路使S91S92=1。 三、实验仪器 1.直流稳压电源1台 2.任意波信号发生器1台 3.数字万用表1台 4.电子技术综合实验箱1台 5.数字示波器1台

四、实验内容 1.二~五~十进制计数器功能验证 7490管脚图如图,根据功能表,画出验证集成二~五~十进制计数器的测试图,自拟实验步骤进行验证。 2.构成8421BCD十进制加法异步计数器 按图搭接电路,用单脉冲作Cp0时钟,用数码管显示8421BCD十进制加法异步计数器,验证其计数功能,写出计数时序表。 (1)仿真电路图:

计数器工作原理及应用

计数器工作原理及应用 除了计数功能外,计数器产品还有一些附加功能,如异步复位、预置数(注意,有同步预置数和异步预置数两种。前者受时钟脉冲控制,后者不受时钟脉冲控制)、保持(注意,有保持进位和不保持进位两种)。虽然计数器产品一般只有二进制和十进制两种,有了这些附加功能,我们就可以方便地用我们可以得到的计数器来构成任意进制的计数器。下面我们举两个例子。在这两个例子中,我们分别用同步十进制加法计数器74LS160构成一个六进制计数器和一个一百进制计数器。 因为六进制计数器的有效状态有六个,而十进制计数器的有效状态有十个,所以用十进制计数器构成六进制计数器时,我们只需保留十进制计数器的六个状态即可。74LS160的十个有效状态是BCD编码的,即0000、0001、0010、0011、0100、0101、0110、0111、1000、1001[图5-1]。 图5-1 我们保留哪六个状态呢?理论上,我们保留哪六个状态都行。然而,为了使电路最简单,保留哪六个状态还是有一点讲究的。一般情况下,我们总是保留0000和1001两个状态。因为74LS160从100 1变化到0000时,将在进位输出端产生一个进位脉冲,所以我们保留了0000和1001这两个状态后,我们就可以利用74LS160的进位输出端作为六进制计数器的进位输出端了。于是,六进制计数器的状态循环可以是0000、0001、0010、0011、0100和1001,也可以是0000、0101、0110、0111、1000和1001。我们不妨采用0000、0001、0010、0011、0100和1001这六个状态。 如何让74LS160从0100状态跳到1001状态呢?我们用一个混合逻辑与非门构成一个译码器[图5. 3.37b],当74LS160的状态为0100时,与非门输出低电平,这个低电平使74LS160工作在预置数状态,当下一个时钟脉冲到来时,由于等于1001,74LS160就会预置成1001,从而我们实现了状态跳跃。

实验九-可逆计数器的功能测试及应用电路

实验九可逆计数器的功能测试及应用电路 实验目的: (1)掌握可逆计数器74LS191、74LS191、74LS192、74LS193的逻辑功能及使用方法。 (2)熟悉可逆计数器实现任意进制的数码倒计时电路的工作原理。 实验仪器与器件: 实验箱一个;双踪示波器一台;稳压电源一台;函数发生器一台。 74LS191、74LS191、74LS191或74HC48、74LS00和74LS04。 实验内容: 1测试74LS190和74LS191的逻辑功能,并用数码管显示,验证是否与表2-9-4一致,分别画出各单元的电路图,写出各自的状态 实验原理:单时钟74LS191二进制同步加/减计数器的功能表如下: 表2-9-4 单时钟74LS191二进制同步加/减计数器的功能表 单时钟74LS191二进制同步加/减计数器是十进制的,其他功能与74LS191一样。它的有效状态为0000~1001. 实验电路: 如图所示是减计数时当计数器的状态变为0时的电路状态:RCO=0,MAX/=1; MIN

实验现象与结果: 该结果是当CTEN =0,D L =1,D U /=1时,A B C D Q Q Q Q 的 波形图; 该结果是当CTEN =0,D L =1,D U /=1时, RCO 与MIN MAX /的波形图

需要说明的是:当CTEN= D L=1时,电路保持原来的状态。 2测试74LS192和74LS193的逻辑功能,并用数码管显示,验证是否与表2-9-3及2-9-5一致。画出测试电路图。 实验原理: 双时钟74LS192同步十进制可逆计数器的功能表如下表所示,74LS192是十进制计数器。 表2-9-3双时钟74LS192同步十进制可逆计数器的功能表 输入输出工作 状态 U CP UP D CP DOW N CLR D L DCBA A B C D Q Q Q Q U TC D TC **H H ****0000 H H 异步 清零**L L 1001 1001 H H 异步 置数 H ↑L H ****1001→ 0001→ 0000H H H L 减法 计数 ↑H L H ****0000→ 1000→ 1001H L H H 加法 计数 双时钟74LS193二进制同步加/减法计数器的功能表如下表所示,74LS193是一个十六进制的计数器。

实验五 74LS90计数器及其应用

实验五 74LS90计数器及其应用 吴宇 2009302301 9294 一、 实验目的 (1) 熟悉常用中规模计数器的逻辑功能。 (2) 掌握二进制计数器和十进制计数器的工作原理和使用方法 (3) 熟练掌握利用74LS90计数器设计其他进制计数器的方法 二、 实验设备 数字电路实验箱,数字万用表,74LS90,函数信号发生器,74LS47及数码管 三、 实验原理 计数是一种最简单的基本运算,计数器在数字系统中主要是对脉冲信号个数进行计数,以实现测量、计数和控制功能,同时兼有分频的功能。计数器按计数进制分有二进制计数器,十进制计数器和任意进制计数器;按技术单元中触发器所接受计数脉冲和翻转顺序分有异步计数器、同步计数器;按计数供能分忧加法计数器,减法计数器,可逆计数器等。 1. 异步清零二——五——十进制异步计数器 74LS90 74LS90是一块二五十进制异步计数器,外形为双列直插。计数脉冲由单次脉冲源提供, 如果从1CP 端输入,从0Q 端输出,则是二进制计数器;如果从2CP 端输入,从321Q Q Q 输出,则是异步五进制加法计数器。 四、 实验内容 (1).用74LS90实现十进制,并用数码管显示 用BCD8421码实现十进制,时钟信号从1CP 端输入,0Q 端为最低位输出信号 ,并作为进位信号输入2CP 端,321Q Q Q 输出,由高到低排列。

十进制仿真实现图: (2).用74LS90实现六进制,并用数码管显示 复位法: 原理:先将74LS90连成十进制,然后连出进位信号至复位端进位。即当输出为0110时,输出复位信号。可以把21Q Q 练到0102R R 得到复位信号,仿真如图: 六进制仿真实现图:

单片机实验-定时器计数器应用实验二

定时器/计数器应用实验二 一、实验目的和要求 1、掌握定时器/计数器计数功能的使用方法。 2、掌握定时器/计数器的中断、查询使用方法。 3、掌握Proteus软件与Keil软件的使用方法。 4、掌握单片机系统的硬件和软件设计方法。 二、实验内容或原理 1、利用单片机的定时器/计数器以查询方式计数外 部连续周期性矩形波并在单片机口线上产生某一 频率的连续周期性矩形波。 2、利用单片机的定时器/计数器以中断方式计数外 部连续周期性矩形波并在单片机口线上产生某一 频率的连续周期性矩形波。 三、设计要求 1、用Proteus软件画出电路原理图,单片机的定时 器/计数器以查询方式工作,设定计数功能,对 外部连续周期性脉冲信号进行计数,每计满100 个脉冲,则取反P1.0口线状态,在P 1.0口线上 接示波器观察波形。 2、用Proteus软件画出电路原理图,单片机的定时 器/计数器以中断方式工作,设定计数功能,对 外部连续周期性脉冲信号进行计数,每计满200 个脉冲,则取反P1.0口线状态,在P 1.0口线上 接示波器观察波形。 四、实验报告要求 1、实验目的和要求。 2、设计要求。 3、电路原理图。 4、实验程序流程框图和程序清单。 5、实验结果(波形图)。 6、实验总结。 7、思考题。 五、思考题 1、利用定时器0,在P1.0口线上产生周期为200微秒的连续 方波,利用定时器1,对P1.0口线上波形进行计数,满 50个,则取反P1.1口线状态,在P 1.1口线上接示波器 观察波形。 原理图:

程序清单: /*功能:用计数器1以工作方式2实现计数(查询方式)每计满100个脉冲,则取反P1.0口线状态*/ ORG 0000H START:MOV TMOD,#60H MOV TH1,#9CH MOV TL1,#9CH MOV IE,#00H SETB TR1 LOOP:JBC TF1,LOOP1 AJMP LOOP LOOP1:CPL P1.0

实验四 计数器及其应用

实验四计数器及其应用 一、实验目的 l、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 3、运用集成计数计构成l位分频器 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 l、用D触发器构成异步二进制加/减计数器 图4-1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D 触发器接成T’触发器,再由低位触发器的Q端和高—位的CP端相连接。 图4-1 四位二进制异步加法计数器 若将图4-l稍加改动,即将低位触发器的Q端与高一位的CP端相连接,即构成了一个4位二进制减法计数器。 2、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,具引脚排列及逻辑符号如图4-2所示。

图4-2 CC40192引脚排列及逻辑符号 图中LD一置数端CP L一加计数端CP D一减计数端 CO一非同步进位输出端BO一非同步借位输出端 D0、D1、D2、D3一计数器输入端 Q0、Q1、Q2、Q3一数据输出端CR一清除端 CC40192(同74LS192,二者可互换使用)的功能如表4-1,说明如下:表4-1 当清除端CR为高电平“1”时,计数器直接清零;CR置低电平则执行其它功能。 当CR为低电平,置数端LD也为低电平时,数据直接从置数端D0、D1、D2、D3置入计数器。 当CR为低电平,LD为高电平时,执行计数功能。执行加计数时,减计数端CP D接高 电平,计数脉冲由CP U输入;在计数脉冲上升沿进行842l码十进制加法计数。执行减计数时,加计数端CPu接高电平,计数脉冲由减计数端CP D输入,表4-2为8421码十进制加、减计数器的状态转换表。 表4-2 3、计数器的级联使用 一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。 同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。 图4-3是由CC40192利用进位输出CO控制高一位的CP U端构成的加数级联图。

计数器及其应用

实验八 计数器及其应用 一、实验目的 1、熟悉中规模集成计数器的逻辑功能及使用方法 2、掌握用74LS160/74LS161构成任意进制计数器的方法 3、熟悉中规模集成计数器各输出波形及应用 4、学习用集成触发器构成计数器的方法 二、实验任务 1、利用D 触发器设计四位二进制加法/减法计数器。 2、利用74LS161设计十二进制计数器,要求用置零法和置数法二种方法实现。 3、利用多片74LS161设计七十二进制计数器。 三、实验原理 计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能,计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS 触发器、T 触发器、D 触发器及JK 触发器等。计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等 计数器按计数进制不同,可分为二进制计数器、十进制计数器、其他进制计数器和可变进制计数器,若按计数单元中各触发器所接收计数脉冲和翻转顺序或计数功能来划分,则有异步计数器和同步计数器两大类,以及加法计数器、减法计数器、加/减计数器等,如按预置和清除方式来分,则有并行预置、直接预置、异步清除和同步清除等差别,按权码来分,则有“8421”码,“5421”码、余“3”码等计数器,按集成度来分,有单、双位计数器等等,其最基本的分类如下: 计数器的种类?? ? ? ? ?? ???? ? ? ?????????? ?????进制计数器十进制计数器二进制计数器进制可逆计数器 减法计数器加法计数器功能异步计数器 同步计数器结构N 、、、321 1、 用D 触发器构成异步二进制加/减计数器 图3.8.1是用四只D 触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D 触发器接成T'触发器,再由低位触发器的Q 端和高一位的CP 端相连接。

实验十一 同步计数器的逻辑功能测试及应用

实验十一计数器74LS161的逻辑功能测试及应用 一、实验目的 1、熟悉集成计数器触的逻辑功能和各控制端作用。 2、掌握集成计数器逻辑功能测试方法。 3、掌握计数器使用方法。 二、实验设备与器件 1、实验设备:DLBS系列数字逻辑实验箱1个,MF47型万用表1台。 2、实验器件:74LS161集成同步计数器×2片,四二输入与非门74LS00×1块。 三、实训器件说明 1、 74LS161集成同步计数器 74LS161是一种同步四位二进制同步加法计数器,计数范围是0~15,具有异步清零、同步置数、保持和二进制加法计数等逻辑功能。图11.1所示为74LS161的管脚图和逻 辑功能示意图。图中CR端是异步清零控制端,当CR=0时,输出Q3Q2Q1Qo全为零,实现异步清除功能。LD是同步置数控制端,当CR=1,LD=0,且CP=CP↑时,输出 Q3Q2Q1Qo=D3D2D1Do,实现同步预置数功能。CTP和CTT是计数控制端,CP是上升沿有效的时钟脉冲输入端,D0~D3是并行数据输入端,Q0~Q3是计数输出端,CO是进位输出端,且进位输出信号CO=CTt=Q3Q2Q1Qo ,它可以用来实现电路的级联扩展。 74LS161的逻辑功能如表6.9所示。表中各控制输入端按优先级从高到低的次序排列, 依次为CR、LD、CTp和CTt,其中CR优先级最高。计数输出Q3为最高位,Qo为最低 位。 输入输出 CR LD CTp CTt CP D3 D2 D1 Do Q3 Q2 Q1 Qo 0 ××××××××0 0 0 0 1 0 ××↑D3 D 2 D1 D0 D 3 D2 D1 D0 1 1 0 ××××××保持 1 1 ×0 ×××××保持 1 1 1 1 ↑××××二进制加法计数

数字电路实验报告——24进制计数器逻辑功能及其应用

24进制计数器逻辑功能及其应用 一、实验目的: 1. 熟悉中等规模集成电路计数器74LS160的逻辑功能,使用方法及应用。 2. 掌握构成计数器的方法。 二、实验设备及器件: 1. 数字逻辑电路实验板1片 2. 74HC90同步加法二进制计数器2片 3. 74HC00二输入四与非门1片 4. 74HC04 非门1片 三、实验原理: 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 集成计数器74HC90是二-五-十进制计数器,其管脚排列如图。

四、实验内容

实验电路图: 用74HC00与非门和74HC04的非门串联,构成与门。74HC00的引脚图和真值表如图:

74HC04的引脚图与真值表如图: 按实验电路图,参照各个芯片的引脚图和真值表,连接电路。其中Q0到Q3分别连到数码管的对应的D0到D3,CP0端接到时钟脉冲,然后检查电路无误后,加电源,观察现象。实验结果:个位数码管随时间显示0、1、2、3、4、5、6、7、8、9,十位数码管显示个位进位计数结果,按0、1、2变化,当数字增加到23后,数码管自动清零,又从零开始变化。 五、实验心得: 本次实验,通过对计数器工作过程的探索,基本上了解了数码计数器的工作原理,以及74HC160的数字特点,让我更进一步掌握了如何做好数字电子数字实验,也让我认识到自身理论知识的不足和实践能力的差距,以及对理论结合实践的科学方法有了更深刻理解。

计数器及其应用

目录 摘要 (1) Abstract (1) 1.计数器的定义及其分类 (2) 2.计数器的分析方法 (2) 3.几种集成计数器 (4) 3.1 74161的功能 (6) 3.2 74LS193的功能 (8) 3.3 74LS290的功能 (10) 4.计数器的应用领域 (12) 参考文献 (12)

计数器及其应用 学生姓名:胡亚静学号:20095044079 物理电子工程学院电子科学与技术专业 指导老师:马建忠职称:讲师 摘要:计数器是数字系统中使用的最多的时序电路,它主要由具有记忆功能的触发器构成。计数器不仅能用于对时钟脉冲计数,还可以用于分频、定时、产生节拍脉冲和脉冲序列以及进行运算等,在计算机及各种数字仪表中,都得到了广泛的应用。目前已有若干集成计数器产品。 关键词:计数器;状态方程;输出方程;功能表;时序图 Abstract: Counter is the most widely used sequential circuit in digital system, it is mainly made up of triggers that has the ability to memory. Counter can be not only used to count the clock pulses, but also be used for frequency, timing , tempo pulse and pulse train generation as well as operations and so on, it has been widely used in the computer and various digital instruments. Nowadays there are several kinds of integrated counter products Keywords: counter; equation of state; output equation; table for features; timing diagram

计数器及其应用

实验十计数器及其应用 一、实验目的: 1、学习用集成触发器构成计数器的方法; 2、掌握中规模集成计数器的使用方法及功能测试方法; 3、运用集成计数器构成1/N分频器。 二、实验原理: 计数器是一种用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器的种类很多。按构成计数器中的各触发器是否使用于一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数体制的不同,分为二进制计数器、十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数电路。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就

图中LD------置数端;CP U-----加计数端;CP D-----减计数端; 非同步进位输出端;BO------非同步借位输出端; D0、D1、D2、D3-----计数器输入端; Q0、Q1、Q2、Q3-----数据输出端;CR-----清零端。 表10-1 74LS192(同CC40192,二者可互换使用)的功能如表10-1所示,说明如下: 当清零端CR为高电平“1”时,计数器直接清零;CR置低电平则执行其它功能。 当CR为低电平,置数端LD也为低电平时,数据直接从置数端D0、D1、D2、D3置入计数器。 当CR为低电平,LD为高电平时,执行计数功能。执行加计数时,减计数端CP D接高电平,计数脉冲由CP U输入;在计数脉冲上升沿进行8421码的十进制加法计数。执行减计数时,加计数端CP U接高电平,计数脉冲由减计数端CP D输入,表10-2为8421码十进制加、减计数器的状态转换表。 表10-2 加计数 减计数 3、计数器的级联使用 一个十进制计数器只能表示0-9十个数,为了扩大计数器计数范围,常将多个十进制计数器级联使用。 同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。 图10-3 (a)是由74LS192利用进位输出CO控制高一位的CP U端构成的加计数级联图。图(b)是由CC40160利用进位输出Q CC控制高一位的状态控制端S1、S2的级联图。

相关文档
最新文档