EDA课程设计——病房呼叫系统

EDA课程设计——病房呼叫系统
EDA课程设计——病房呼叫系统

目录

一、课程设计思路 (1)

1、设计题目 (1)

2、设计要求 (1)

3、设计想法 (1)

二、课程设计过程 (2)

1、模块分配 (2)

2、模块具体设计 (2)

三、课程设计综合介绍 (4)

1、整体原理图 (4)

2、引脚配置 (5)

3、整体设计实现 (5)

参考文献 (6)

附件(病房呼叫系统整体程序) (7)

一)、设计题目:病房呼叫系统

二)、设计要求:

1、用8个开关模拟8个病房的呼叫输入信号,1号优先级最高;1~8优先级依次降低;

2、用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时,显示优先级

最高的呼叫号(其他呼叫用指示灯显示);用四个数码管显示呼叫等待时间(mm ss)。

3、凡有呼叫发出5秒的提示声;呼叫3分钟未处理输出报警信号。

发挥部分:对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。

三)、设计想法:

1、整体设计思路:根据设计要求,我们将设计分为几个模块来设计,分别为:锁存模块、选优模块(对病房选优)、选优模块2(对复位选优)、计时模块、显示模块、蜂鸣模块。

2、整体设计流程:

(1)、锁存器:对病房呼叫的信号进行存储并处理信号,需要用一个对所有的呼叫信号进行存储的锁存器。

(2)、数据选择器(选优):对发出呼叫的病房进行优先选择,选择优先级最高的一个病房号,病房号从1到8优先级一次降低。

(3)、数据选择器2(选优2):对时间控制信号进优先选择,这样就是的数码管显示器显示的时间为当前优先级最高的病房所呼叫的时间。

(4)、计时器:病房呼叫系统中要求凡有呼叫发出,呼叫3分种未处理输出报警信号,即要求一个模块对呼叫时间计时,因此我们设计一个分秒计时器,对呼叫时间计时。实验箱中时钟频率为20MHZ,故计时部分需加入分频,我们使之为1s。

(5)、显示器:用一个数码管显示呼叫信号的号码,用四个数码管显示呼叫等待时间(mm ss),设计一七段数码显示器,数码片选为低电平有效,扫描信号定为1KHZ。

一)、模块分配

:锁存模块

:选优模块、对复位选优模块

:计时模块

:显示模块

:连接模块、蜂鸣器模块

二)、模块具体设计

1.锁存模块:由于有8个病房所以设计了8个输入信号且高电平时为信号输入,另外考虑

到时间模块显示的是当前等待时间,所以小组讨论后决定时间显示当前等待时间为最好,所以在锁存模块,把复位加到锁存模块,高电平的时候表示复位不工作,低电平的时候表示复位。锁存器的一个输入信号与LED灯相连,使得有呼叫信号时,与病房相对应的灯亮。另外一个相同的输出信号连接选优器,对信号进行选优,还有一个相同输出信号控制计时模块中的SP信号。

2.选优模块:由设计要求,数码管要显示优先级最高的病房的呼叫信号,所以我们须得对

病房呼叫信号进行选优,还考虑到对时间的优先级的问题,所以设计了两个优先输出信号。程序功能部分按优先病房号顺序依次输出BCD码代表的1、2、3、4、5、6、7、8.

3.选优2:考虑到复位键一一对应的问题,我们的时间模块又只用了一个,显示当前最优

先病房的等待时间,所以选优2模块连在选优模块的后面以及和8个位宽的复位信号相连,然后通过选优模块,使得输出地复位信号为当前最优先的病房所对应的复位信号。

锁存器模块的一个输出信号也在这时进行选优,选出来的优先级最高的信号与时间模块中的SP信号对应。

4.计时模块:由设计要求计时用四个数码管显示mmss, 所以时间方面采用的是以秒进位,

实验箱中的时间频率为20MHZ,所以选择了0:20000000即一秒的分频,另外由于有呼叫信号时,SP=1,我们得立即计时,所以定义了每个1秒之内出现一个上升沿,当上升沿到来时时间加计1s,另外mmss之中前一个m代表的是分的十位,后一个m代表的是分的个位,前一个s代表的是秒的十位,后一个s代表的是秒的个位。

5.显示模块:显示模块所要显示的就是优先级最高的呼叫病房的号码以及呼叫等待的时间

mmss,所以在模块的设计当中,我们用中间的六个数码管依次显示当前最优先呼叫病房号,分的十位,分的个位,再一个数码管显示一横杠,和秒计位区分开来。在依次是秒的十位,秒的个位。

6.连接模块:由于各个模块成功之后进行顶层设计时,时间模块和蜂鸣器模块的位宽不相

等,故我设计了一个连接模块,使得两模块能够顺利衔接起来。连接模块的输出部分和时间模块的mmss各部分相互对应,模块输出部分位宽的15-12位与记秒的个位的3-0位对应,位宽的11-8位与记秒的十位的3-0相对应,位宽的7-4位与记分的个位的3-0相对应,位宽的3-0位与记分的十位的3-0位相对应。

7.蜂鸣器模块:考虑到计时后发出5秒的提示声以及灯亮3分钟后进行报警,所以我设计

的报警器模块和时间的链接模块是联系起来的,当输入为0000 0001 0000 0000表示的是1秒,0000 0010 0000 0000表示的是2秒,以此类推,当表示的时间是1-5秒时令蜂鸣器响,此时输出为低电平,表是的是蜂鸣器工作。当时间在6秒到3分钟之间的时候,编写程序另输出为高电平,此时蜂鸣器不工作。超过三分钟时再令蜂鸣器工作5秒,进行报警工作,此时已经达到设计要求。

三、课程设计综合介绍

我们对这个模块刚开始设计了和现在不同的方案,然后顶层设计时发现并不好实现,而且连接之后模块也比较复杂,所以我们重新思考之后就有了现在模块的初稿,但是在顶层设定好之后,跑实验箱是显示的结果并不如预期的,多次调试没出结果之后,我选择了排除错误,首先从自身下手,我把顶层的连接改成了用系统框图表示,选它的优点是比较直观,设想正确的话基本上不会出现什么错误,所以,当问题再次出现时我考虑到了每个模块的逻辑问题,经过一个一个找出现的问题,从时间模块的SP的高低电平的修改,在到蜂鸣器和时间表示对应的修改,在到对选优模块最后执行语句YOU归零的修改,解决了计时器一直计时、蜂鸣器不工作、病房显示号不归零等问题,终于圆满的完成了实验的要求。

一)、病房呼叫系统框图如下:

引脚配置如图:

二)、综合引脚配置如下:

三)、整体设计实现描述:

实验箱上有8个7段数码管、16个用户LED灯(8个大LED灯,8个小LED灯)、16个开关量输入端口、8个拨码开关、蜂鸣器等。工程引脚锁定下载完后,将其下载进FPGA中,进行硬件测试。具体实现如下:实验箱中有8个7段数码管,我们只用到了其中的6个,除前两个外从左到右分别显示:病房号码、minitue2、minitue1、——(横杠)、second2、second1。对应病房号指示灯我们用8个小LED。接通电源,计时程序已下载进实验箱,蜂鸣器开始时不响,6个数码管显示000--00,拨码开关从SW1-SW7依次表示的是病房号1-7,当按下拨码开关SW1时表示2号病房开始计时,蜂鸣器接着响5秒钟然后不响,到时间记为三分钟时又开始报警。当同时按开关SW2与SW3时,病房号显示的还是2,因为病房2的优

先级比病房三的要高,另外病房相应的拨码开关打开后,相应的指示灯也开始亮,小灯从左到右依次显示优先级从低到高的8个病房的呼叫情况。我们的实验的一个特色是设置了8个复位信号,我们主要考虑到一个实际问题,每一个复位键对应了当时优先级最高的一个病房的灯控和时间控制,当护士去处理优先级最高病房的呼叫信号时,按下复位键,发出一个确知信号:有护士已经去处理这件事情了。而一个复位键相较之的缺点是,当有多个呼叫信号时,按下复位键所有的信号均清零了。

参考文献

1)FPGA实验指导书(5万门).doc

2)EDA技术与VHDL 清华大学出版社黄继业

3)https://www.360docs.net/doc/329791736.html,

4)(VHDL_与数字电路设计

5)硬件语言描述与数字逻辑电路设计侯伯亨、顾新编著)

附件:

病房呼叫系统整体程序

一、锁存器

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY SUOCUNQI IS

PORT(REST:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

SIN:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

SOUT1:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);

SOUT2:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);

SOUT3:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END SUOCUNQI;

ARCHITECTURE bhv OF SUOCUNQI IS

SIGNAL SOUT:STD_LOGIC_VECTOR(7 DOWNTO 0);

BEGIN

PROCESS(REST,SIN)

BEGIN

IF REST(0)='1' AND SIN(0)='0' THEN SOUT(0)<='1';

ELSE SOUT(0)<='0';

END IF;

IF REST(1)='1' AND SIN(1)='0' THEN SOUT(1)<='1';

ELSE SOUT(1)<='0';

END IF;

IF REST(2)='1' AND SIN(2)='0' THEN SOUT(2)<='1';

ELSE SOUT(2)<='0';

END IF;

IF REST(3)='1' AND SIN(3)='0' THEN SOUT(3)<='1';

ELSE SOUT(3)<='0';

END IF;

IF REST(4)='1' AND SIN(4)='0' THEN SOUT(4)<='1';

ELSE SOUT(4)<='0';

END IF;

IF REST(5)='1' AND SIN(5)='0' THEN SOUT(5)<='1';

ELSE SOUT(5)<='0';

END IF;

IF REST(6)='1' AND SIN(6)='0' THEN SOUT(6)<='1';

ELSE SOUT(6)<='0';

END IF;

IF REST(7)='1' AND SIN(7)='0' THEN SOUT(7)<='1';

ELSE SOUT(7)<='0';

END IF;

END PROCESS;

SOUT1<=SOUT;

SOUT2<=SOUT;

SOUT3<=SOUT;

END ARCHITECTURE bhv;

二、选优模块

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY XUANYOU IS

PORT(SOUT:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

YOU1:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

YOU2:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END XUANYOU;

ARCHITECTURE bhv OF XUANYOU IS

SIGNAL YOU:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN

PROCESS(SOUT,YOU)

BEGIN

IF SOUT(0)='1' THEN YOU<="0001";

ELSE IF SOUT(1)='1' THEN YOU<="0010";

ELSE IF SOUT(2)='1' THEN YOU<="0011";

ELSE IF SOUT(3)='1' THEN YOU<="0100";

ELSE IF SOUT(4)='1' THEN YOU<="0101";

ELSE IF SOUT(5)='1' THEN YOU<="0110";

ELSE IF SOUT(6)='1' THEN YOU<="0111";

ELSE IF SOUT(7)='1' THEN YOU<="1000";

ELSE YOU1<="0000";

END IF;

END IF;

END IF;

END IF;

END IF;

END IF;

END IF;

END IF;

END PROCESS;

YOU1<=YOU;

YOU2<=YOU;

END ARCHITECTURE bhv;

三、计时选优模块

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY XUANYOU2 IS

PORT(REST:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

SOUT3:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

YOU1:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

ZQ:OUT STD_LOGIC;

LJ:OUT STD_LOGIC);

END XUANYOU2;

ARCHITECTURE bhv OF XUANYOU2 IS

BEGIN

PROCESS(YOU1)

BEGIN

IF YOU1="0001" THEN LJ<=REST(0);

ELSE IF YOU1="0010" THEN LJ<=REST(1);

ELSE IF YOU1="0011" THEN LJ<=REST(2);

ELSE IF YOU1="0100" THEN LJ<=REST(3);

ELSE IF YOU1="0101" THEN LJ<=REST(4);

ELSE IF YOU1="0110" THEN LJ<=REST(5);

ELSE IF YOU1="0111" THEN LJ<=REST(6);

ELSE IF YOU1="1000" THEN LJ<=REST(7);

END IF;

END IF;

END IF;

END IF;

END IF;

END IF;

END IF;

END IF;

END PROCESS;

PROCESS(SOUT3)

BEGIN

IF YOU1="0001" THEN ZQ<=SOUT3(0);

ELSE IF YOU1="0010" THEN ZQ<=SOUT3(1);

ELSE IF YOU1="0011" THEN ZQ<=SOUT3(2);

ELSE IF YOU1="0100" THEN ZQ<=SOUT3(3);

ELSE IF YOU1="0101" THEN ZQ<=SOUT3(4);

ELSE IF YOU1="0110" THEN ZQ<=SOUT3(5);

ELSE IF YOU1="0111" THEN ZQ<=SOUT3(6);

ELSE IF YOU1="1000" THEN ZQ<=SOUT3(7);

END IF;

END IF;

END IF;

END IF;

END IF;

END IF;

END IF;

END IF;

END PROCESS;

END ARCHITECTURE bhv;

四、时间模块

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL

USE IEEE.STD_LOGIC_UNSIGNED.ALL

ENTITY TIME IS

PORT(REST,SP,CLK :IN STD_LOGIC;

SECOND1,SECOND2,MINITUE1,MINITUE2:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END TIME;

ARCHITECTURE ONE OF TIME IS

SIGNAL TIMECLK,MINI:STD_LOGIC;

SIGNAL SEC1,SEC2:STD_LOGIC_VECTOR(3 DOWNTO 0);

SIGNAL MINI1,MINI2:STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

MINITUE1(3 DOWNTO 0)<=MINI1(3 DOWNTO 0);MINITUE2(3 DOWNTO 0)<=MINI2(3 DOWNTO 0);

SECOND1(3 DOWNTO 0)

PROCESS(CLK,SP)

V ARIABLE CNT:INTEGER RANGE 0 TO 20000000;--分频1S

BEGIN

IF CLK'EVENT AND CLK='1'AND SP='1' THEN CNT:=CNT+1;

IF CNT<10000000 THEN TIMECLK<='1';

ELSIF CNT<20000000 THEN TIMECLK<='0';

ELSE CNT:=0;TIMECLK<='0';

END IF;

END IF;

END PROCESS;

--------------

PROCESS(TIMECLK,REST) --计时部分程序

BEGIN

IF(REST='0') THEN

MINI2 <="0000"; MINI1 <="0000"; SEC2<="0000"; SEC1<="0000"; ELSIF (TIMECLK'EVENT AND TIMECLK='1')THEN --检验时钟上升沿

IF SEC1<"1001"THEN SEC1<=SEC1+1;

ELSE

SEC1<="0000";

IF SEC2<"0101"THEN SEC2<=SEC2+1;

ELSE

SEC2<="0000";

IF MINI1<"1001"THEN MINI1<=MINI1+1;

ELSE

MINI1<="0000";

IF MINI2<"0101"THEN MINI2<=MINI2+1;

ELSE

MINI2<="0000";

END IF;

END IF;

END IF;

END IF;

END IF;

END PROCESS;

END ONE;

五、连接模块

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY SQU IS

PORT(SECOND1:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

SECOND2:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

MINITUE1:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

MINITUE2:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

JISHI:OUT STD_LOGIC_VECTOR(15 DOWNTO 0));

END SQU;

ARCHITECTURE ONE OF SQU IS

BEGIN

JISHI(15 DOWNTO 12)<=SECOND1;

JISHI(11 DOWNTO 8)<=SECOND2;

JISHI(7 DOWNTO 4)<=MINITUE1;

JISHI(3 DOWNTO 0)<=MINITUE2;

END ARCHITECTURE ONE;

六、蜂鸣模块

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY FENGMING IS

PORT(

BCD:IN STD_LOGIC_VECTOR(15 DOWNTO 0);

MING:OUT STD_LOGIC);

END FENGMING;

ARCHITECTURE bhv OF FENGMING IS

SIGNAL ING:STD_LOGIC;

BEGIN

PROCESS(BCD)

BEGIN

IF BCD="0000000000000000" THEN ING<='1';

ELSE IF BCD="0001000000000000" THEN ING<='0';

ELSE IF BCD="0010000000000000" THEN ING<='0';

ELSE IF BCD="0011000000000000" THEN ING<='0';

ELSE IF BCD="0100000000000000" THEN ING<='0';

ELSE IF BCD="0101000000000000" THEN ING<='0';

ELSE ING<='1';

IF BCD="0000000000110000" THEN ING<='0';

ELSE IF BCD="0001000000110000" THEN ING<='0';

ELSE IF BCD="0010000000110000" THEN ING<='0';

ELSE IF BCD="0011000000110000" THEN ING<='0';

ELSE IF BCD="0100000000110000" THEN ING<='0';

END IF;

END IF;

END IF;

END IF;

END IF;

END IF;

END IF;

END IF;

END IF;

END IF;

END IF;

MING<=ING;

END PROCESS;

END ARCHITECTURE bhv;

七、显示模块

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

ENTITY DISPLAY IS

PORT(

CLK:IN STD_LOGIC;

SECOND1,SECOND2,MINITUE1,MINITUE2:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

YOU:IN STD_LOGIC_VECTOR(3 DOWNTO 0);--显示病房

DISP:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);--显示代码

LEDCS:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)--数码管片选

);

END DISPLAY;

ARCHITECTURE ONE OF DISPLAY IS

SIGNAL CLK_1K:STD_LOGIC;

SIGNAL DATA:STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

CLK_1KHZ:PROCESS(CLK)--产生1k的扫描信号

V ARIABLE CNT:INTEGER RANGE 0 TO 20000;

BEGIN

IF RISING_EDGE(CLK)THEN CNT:=CNT+1;

IF CNT<10000THEN CLK_1K<='1';

ELSIF CNT<20000THEN CLK_1K<='0';

ELSE CNT:=0;CLK_1K<='0';

END IF;

END IF;

END PROCESS;

PROCESS (CLK_1K) --显示

V ARIABLE CNT2:STD_LOGIC_VECTOR(3 DOWNTO 0);

V ARIABLE CNT3:INTEGER RANGE 0 TO 2;

V ARIABLE CNT:INTEGER RANGE 0 TO 2500000;

BEGIN

IF CLK_1K'EVENT AND CLK_1K='1'THEN CNT2:=CNT2+1;

IF CNT2="0001"THEN

LEDCS<="00010000";

DATA<=MINITUE2;

ELSIF CNT2="0010" THEN

LEDCS<="00001000";

DATA<=MINITUE1;

ELSIF CNT2="0011" THEN

LEDCS<="00000100";

DATA<="1010";

ELSIF CNT2="0100" THEN

LEDCS<="00000010";

DATA<=SECOND2;

ELSIF CNT2="0101" THEN

LEDCS<="00000001";

DATA<=SECOND1;

ELSIF CNT2="0110" THEN

LEDCS<="00100000";

DATA<=YOU;

CNT2:="0000";

END IF;

END IF;

END PROCESS;

PROCESS(DATA)

BEGIN

CASE DATA IS

WHEN "0000"=>DISP<="11000000";--0

WHEN "0001"=>DISP<="11111001";--1

WHEN "0010"=>DISP<="10100100";--2

WHEN "0011"=>DISP<="10110000";--3

WHEN "0100"=>DISP<="10011001";--4

WHEN "0101"=>DISP<="10010010";--5

WHEN "0110"=>DISP<="10000010";--6

WHEN "0111"=>DISP<="11111000";--7

WHEN "1000"=> DISP <="10000000";--8

WHEN "1001"=> DISP <="10010000";--9

WHEN "1010"=> DISP <="10111111";--间隔横杠

WHEN "1011"=> DISP <="10111111";--间隔横杠

WHEN "1100"=> DISP <="11111111";--超出范围就不显示停住,直到正确为止

病床呼叫系统讲解

课程设计(论文) 题目名称病床呼叫系统 课程名称电子技术课程设计 学生姓名xxxxx 学号xxxxxxxx 系、专业xxxxxxx 指导教师xxxxxxx 2016年5月31日

摘要 本设计完成的是病房呼叫系统的功能。该设计采用主从结构,监控机构放置在医生值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,提示闹铃响起,向值班室呼叫,并点亮相应床位的指示灯。采用模块设计思想,分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。这些模块共同工作完成本电路的功能实现。 本设计分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。这些模块共同工作完成本电路的功能实现。其中运用了8线—3线优先编码器74LS148来实现优先选择模块主要功能,其中运用自己设计的小规模逻辑门电路,并且运用74LS138译码器来进行输出的控制,本论文阐述了如何利用数字电路的思想,以及应用proteus仿真软件设计病房呼叫系统,测试,并实现其功能。 关键词:病房呼叫系统,JK同输入触发器,数字电路

目录 摘要............................................................................................................ I 引言.. (1) 1 设计详细任务与要求 (2) 1.1 基本功能 (2) 1.2 呼叫系统的总体框图 (2) 1.3方案设计与论证 (3) 2 设计方案简述 (4) 3 单元电路的设计 (5) 3.1 按键模块及指示灯模块的设计 (5) 3.2 锁存模块及清零模块的设计 (7) 3.3 显示模块及报警模块的设计 (9) 3.4 呼叫系统总电路原理图 (11) 4 病床呼叫系统的功能说明及仿真结果 (12) 5 病床呼叫系统设计总结 (14) 参考文献 (15) 附录 (16)

病房呼叫系统设计报告

病房呼叫系统设计报告 一、设计要求 (2) 二、设计的具体实现 (2) 1、系统概述 (2) 2、单元电路设计与分析 (4) 2.1 5秒呼叫模块 (4) 2.2 呼叫显示模块 (6) 2.3 优先显示模块 (7)

一、设计要求 此设计是用于医院病人的紧急呼叫,其设计要求如下: 1.当病人按下呼救信号按钮,呼救灯亮,同时显示病人编号,蜂鸣器发出5秒呼救声,等待医护人员来护理。 2.按照病人的病情划分出优先级别,有多个病人同时呼救时,系统优先显示最高级别的呼救编号。 3.当医护人员处理完最高级别呼救后,按下清零键,系统按优先等级先后显示其他病人编号。 二、设计的具体实现 1.系统概述 本设计的指导思想是设计一个当病人紧急呼叫时,产生声光提示,并显示病人编号;然后根据病人病情进行优先级别设置,当有多人呼叫时,病情严重优先;医护人员处理完当前最高级别的呼叫后,清除已处理的最高级别的呼叫信号,系统按优先级别显示其他呼叫病人的编号。由呼叫信号的锁存,CD4532优先编码,由744511译码显示和逻辑控制清除几部分构成,其核心在CD4532优先编码器。 方案:病房呼叫系统的逻辑门电路如图1.1所示。它由模拟开关、优先编码器、锁存器、数码管、逻辑门、信号灯、单稳态触发器、蜂鸣器组成。模拟病房号通过优先编码器显示优先级最高的病床号。并且通过锁存器储存起来,按R键将清除已处理的信号。 病房呼叫系统的逻辑方框图

由上述图文说明可看出此方案能够对最优先级别的呼叫信号进行处理,编码和译码,最后显示出来。在完成最优先级呼叫信号的处理之后,可以通过医护人员手动复位,从而对其他信号的处理。 工作原理:用D锁存器锁存,再用一个8线-3线优先编码器4532对病房号编码,再用译码器4511译出最高级的病房号。当有病房号呼叫时,通过译码器和逻辑门触发(由555构成的单稳触发器)从而控制蜂鸣器发出5秒钟的呼叫声。呼叫信号控制晶闸管从而控制病房报警灯的关亮。若有多个病房同时呼叫,待医护人员处置好最高级的病房后,由人工将系统的复位(手动)。 工作流程图

EDA课程设计---流水灯设计

EDA课程设计流水灯设计

目录 一、摘要··3 二、流水灯设计目的··4 三、流水灯设计流程··4 四、流水灯设计程序··5 五、流水灯设计管脚分配··7 六、功能仿真图··8 七、原理图波形图··9 八、设计注意事项··10

九、课程设计总结··11 十、参考文献··12 十一、评分表··13 一、摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、

自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。EDA是指以计算机为工具,在EDA软件平台上,根据设计社描述的源文件(原理图文件、硬件描述语言文件或波形图文件),自动完成系统的设计,包括编译、仿真、优化、综合、适配(或布局布线)以及下载。 流水灯是一串按一定的规律像流水一样连续闪亮,流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。流水灯控制可用多种方法实现,但对现代可编程控制器而言,基于EDA技术的流水灯设计也是很普遍的。 课程设计主要的目的是通过某一电路的综合设计,了解一般电路综合设计过程、设计要求、应完成的工作内容和具体的设计方法、通过设计也有助于复习、巩固以往的学习内容、达到灵活应用的目的。在设计完成后,还要将设计的电路进行安装、调试以加强学生的动手能力。在此过程中培养从事设计工作的整体观念。 课程设计应强调以能力培养为主,在独立完成设计及制作任务同时注意多方面能力的培养与提高,主要包括以下方面: ·独立工作能力和创造力。 ·综合运用专业及基础知识,解决实际工程技术问题的能力。 ·查阅图书资料、产品手册和各种工具书的能力。 ·写技术报告和编制技术资料的能力。 ·实际动手能力。

病床呼叫系统0935321

萍乡高等专科学校 PLC课程设计 题目:病床呼叫系统 班级:09应用电子 设计人:胡勋 09353021 设计人:刘梓豪39353025 指导老师:彭珂良 设计时间:2018-12-13 绪论 PLC即可编程控制器

第3章软件设计9 3.1 Sfc13 3.2 梯形图的设计17 第四章程序调试、系统综合仿真调试 结论与体会11 附录20 一课程内容及设计方案 1.1 技术参数和条件 S7-200AC的交流电线路电源界限和I/O界限为1500VAC所有与S7-200连接的低压线路均需由经核准提供安全绝缘的交流电线路和其他高压线路供电。此类来源包括国际电气安全标准中定义的双重绝缘,并配有根据不同标准评级为SELV、PELV、二类或有限电压的输出。 本设计采用PLC采用西门子S7-200,为编程 1.2 病床呼叫系统设计步骤 ①深入了解和分析被控制对象的工艺条件及控制要求 ②选择适合的PLC类型 ③分配I/O点: ④设计控制系统梯形图 ⑤输入并调试程序 1.3整体方案设计 ①拟定控制系统设计的技术要求; ②选择电气传动形式和电动机、电磁阀等执行元件; ③选定PLC型号; ④编制PLC的输入/输出端子接线图; ⑤根据系统设计的要求编写软件规格说明书,然后在用相应编程语言进行程序设计; ⑥设计操作台、电气柜及非标准电器元件; 1.4技术要求 1,共有4个房间,每间病房3个床位。每一病床床头有紧急呼叫按钮及重置按钮以利病人不适时紧急呼叫

数电课程设计病床呼叫系统

数电课程设计病床呼叫 系统 集团文件发布号:(9816-UATWW-MWUB-WUNN-INNUL-DQQTY-

数字电子技术课程设计报告 题目:病床呼叫系统 班级: 姓名: 指导老师: 组号: 目录 1、设计任务及要求 (3) 2、方案设计 (3) 3、各单元电路设计与分析 (4) 3.1、开关控制及指示灯与数码管显示部分 (4) 3.2、优先编码部分 (5) 3.3、5s闪烁呼叫模拟部分 (6) 4、总体电路原理图及元器件清单 (7) 4.2、电路原理图 (8) 4.2、原件清单 (10) 5、电路仿真及仿真结果分析 (11) 6、电路功能测试方案与测试结果 (12) 7、作品照片图 (12) 8、结论与心得体会 (13) 9、参考文献 (13) 病床呼叫系统

1、设计任务及要求: 用中小规模集成电路设计病床呼叫系统逻辑电路的具体要求如下:(1)分别用1~5个开关模拟5个病房的呼叫输入信号,5个呼叫优先级不同。 (2)用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示)。 (3)凡有呼叫发出5s的呼叫声(可通过LED灯5s闪烁模拟)。 (4)当护士接收到信号,按下复位键时数码管被清零,而且不能影响下次呼叫的进行。 2、方案设计: 临床求助呼叫是传送临床信息的重要手段,病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生和护士,并在值班室的监控屏幕上留下准确完整的记录,是提高医院和病房护理水平的必备设备之一。监控机构一般放置在护士值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮呼叫指示灯。监控机构和呼叫源之间通过数据线连在一起。 本设计为一个模拟系统,通过各类芯片的组合来实现该系统的基本功能,完成各项操作。病床呼叫系统能对5张病床进行统一监护,能够对不同优先级的呼叫进行优先响应处理,对发出呼叫的病床有指示灯进行

EDA课程设计报告

湖北职业技术学院《EDA技术》课程设计报告 题目动态输出4位十进制频率计的设计所在学院电子信息工程学院 专业班级电信08304 学生姓名马强 学号08024839 指导教师王芳 完成日期2010年11月18 日

目录 一、概述 (3) 二、设计正文 (4) (一)设计目的 (4) (二)设计实现 (4) 1、端口说明 (4) 2、Cnt10模块说明 (5) 3、Tctl模块说明 (6) 4、锁存器reg16模块说明 (8) 5、Scan_led模块说明 (9) 6、顶层文件仿真 (10) 7、硬件下载 (11) 三、总结 (13) 四、感言 (14) 五、参考文献 (15)

概述 此次设计的主要目的是学习掌握频率计的设计方法;掌握动态扫描输出电路的实现方法;学习较复杂的数字系统设计方法。通过单位时间(1秒)内频率的计数来实现频率计的设计。此设计主要用四位十进制计数器,所以频率计数范围为100~9999Hz。然后锁存防止闪烁显示,最后由译码扫描显示电路输出到数码管进行显示输出。并且下载后会有一秒钟的延时后才会显示输出所计频率输出。设计下载后能够进行仿真频率的计数和静态显示,但是分频的设计程序有所缺陷导致长时间显示后会有1Hz的抖动。通过这次的设计能够更清楚的理解VHDL程序的描述语言,进行简单程序的编写和仿真。

动态输出4位十进制频率计的设计 一、目的 1.学习掌握频率计的设计方法。 2.掌握动态扫描输出电路的实现方法。 3.学习较复杂的数字系统设计方法 二、设计实现 4位十进制频率计外部接口如图1所示,顶层文件如图2所示,包含4中模块;Tctl、reg16、scan_led和4个cnt10。 (1)端口说明 F1Hz:给Tctl模块提供1Hz的频率输入。 Fin:被测频率输入。 scan_led:给scan_led模块提供扫描输入频率输入。 bt[1..0]:片选信号输出。 sg[6..0]:译码信号输出。 cout:进位输出。

病床呼叫系统设计

电子技术课程设计 题目病床呼叫系统设计 系 (部) 班级 姓名 学号 指导教师 2014 年 12 月 15 日至 12 月 19 日共 1 周 2014年12 月19日

课程设计成绩评定表

目录 1设计题目 (3) 1病床呼叫系统设计 (3) 2 课题背景 (4) 3 系统设计 (6) 3.1设计内容 (6) 3.2设计思路 (6) 3.3需要器件 (7) 3.4结构框图 (7) 3.5系统原理图及其工作原理 (8) 3.6各部分功能模块 (12) 3.6.1床位呼叫控制转换模块及原理图 (12) 3.6.2 优先选择模块设计 (13) 3.6.3译码显示模块设计 (16) 3.6.4蜂鸣器模块 (17) 4.软件仿真及其调试 (18) 4.1软件介绍 (18) 4.2仿真方法介绍 (18) 4.3故障分析 (19) 5.结论 (20) 6.心得体会 (21) 参考资料 (22) 附录1仿真电路全图 (23) 附录2 元件清单 (24)

1设计题目1病床呼叫系统设计

2 课题背景 在这个瞬息万变,竞争激烈的时代,选择一个优越的工具往往能提升企业在这个市场上的竞争力。尤其医院的竞争越来越激烈,商业医院的生存是第一位,提升档次和服务质量迫在眉睫,陪护问题一直是医患矛盾的主体,也是长期困扰卫生系统服务质量的大问题,使用病房呼叫系统,方便病人更快找到医生,以节约病人的宝贵时间。 病床呼叫系统是一种应用于医院病房、养老院等地方,用来联系沟通医护人员和病员的专用呼叫系统,是提高医院水平和病室护理水平的必备设备之一。让患者需要服务时,只要按一下随身携带的呼叫器,信息立马就能传至护工处. 避免没有看护人在时,病人急需服务却无法通知医生的情况。病床呼叫系统的优劣直接影响到病员的安危,历来受到各大医院的普遍重视。它要求及时、准确可靠、简便可行、利于推广。

plc课程设计病床呼叫系统

目录 第1章控制工艺流程分析 (1) 1.1病床呼叫系统控制过程描述 (1) 1.2. 病床呼叫系统控制工艺分析 (1) 第二章控制系统总体方案设计 (2) 2.1 系统硬件组成 (2) 2.4系统结线图设计 (3) 第3章控制系统梯形图程序设计 (5) 3.1 控制程序流程图设计 (5) 3.2 控制程序时序图设计 (5) 3.3 控制程序设计思路 (7) 第4章监控系统设计 (8) 4.1 PLC与上位监控软件通讯 (8) 4.2 上位监控系统组态设计及实现效果 (9) 第5章系统调试及结果分析 (10) 5.1 系统调试及解决的问题 (10) 5.2 结果分析 (10) 第6章课程设计心得 (11) 参考文献 (12) 附录 (13)

第1章控制工艺流程分析 1.1病床呼叫系统控制过程描述 患者在住院期间,可能会在任意时间请求医生或护士进行诊断或护理。临床救助呼叫是传送临床信息的重要手段,病床呼叫系统是患者向值班医生或护士发出紧急呼叫的工具,要将患者的请求快速传送给值班医生或护士,并在护士站的监控中心留下准确完整的记录,是提高医院和病室护理水平的必备设备之一。病床呼叫系统要求及时、准确、可靠,简便可行,利于推广,其性能的优劣直接关系到病员的安危,因此历来受到各大医院的普遍重视。 本实验应用可编程控制,以一种以PLC为核心的智能化病床呼叫系统。该系统利用PLC特殊的I/O接口,是系统构成更加简单,充分展示了它的特色和应用前景。该系统具有呼叫、灯光报警、振铃、先是排队、优先权设定等功能,可满足医院对病房管理和护理的要求。 1.2. 病床呼叫系统控制工艺分析 1.共有3个病房,每间病房4个床位。每一病床床头均有紧急呼叫按钮及重置按钮,以利病人不适时紧急呼叫。 2.设每一层楼有一护士站,每一护士站均有该层楼病人紧急呼叫与处理完毕的重置按钮。 3.每一病床床头均有一紧急指示灯,一旦病人按下紧急呼叫按钮且未在5s 内按下重置按钮时,该病床床头紧急指示灯动作且病房门口紧急指示灯闪烁,同时同楼层的护士站显示病房紧急呼叫并闪烁指示灯。 4.在护士站的病房紧急呼叫中心,每一病房都有编号,用指示灯显示哪一病房先按下病人紧急呼叫按钮,并要具有优先级判别的能力。 5.一旦护士看见护士站紧急呼叫闪烁灯后,须先按下护士处理按钮以取消闪烁情况,再依病房紧急呼叫顺序处理病房紧急事故,若事故处理妥当后,病房紧急闪烁指示灯和病床上的紧急指示灯方町被重置。

病房呼叫系统设计

《病房呼叫系统EDA》 设计报告 题目:病房呼叫系统设计 学院:电子信息与电气工程学院专业:电子信息工程 班级: 姓名: 学号:

目录 一、设计要求 (2) 二、病房呼叫系统设计背景及其研究意义 (2) 三、设计思路 (2) 四、基本原理 (3) 五、设计内容及步骤 (3) 六、对设计的体会与感想 (9) 七、参考文献 (10)

病房呼叫系统设计 一、设计要求 1、用1~6个开关模拟6个病房的呼叫输入信号,1号优先级最高;1~6优先级依次降低; 2、用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3、凡有呼叫发出5秒的呼叫声; 4、对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、病房呼叫系统设计背景及其研究意义 随着科学技术的发展,越来越多的科技设备应用到生活的方方面面,其中在医疗领域尤其多。病房呼叫系统这个设计就是应用于医院的一个简单的系统模型,其目的是为了方便医护人员更好地照顾病人,提高工作效率。病房呼叫系统的优先编码设置可以让相对更需要救助的病人第一时间得到救助,其蜂鸣器和数码管、等设置可以直观的提醒医护人员是否有病房呼叫及呼叫的病房号。 医院,在当代生活中已是必不可少,它在人们的生活中越来越扮演着重要角色,医院让我们的生活更加安心。医院的医学技术与科技设备齐全是人们生活健康的保障,而病房呼叫系统在医院病房更加必不可少,它关系的病人的安危,完善的病房呼叫系统让病人更加安心。 三、设计思路 本次课程设计的题目是病房呼叫系统,有六个病房,分别编号为1、2、3、4、5、6,其优先级依次降低。即当一号病房有信号输出的时候,即使其他病房有信号输出系统也不会响应。当二号病房有信号

EDA课程设计

课程设计说明书 课程:EDA技术基础 题目:数字钟的设计 闹钟与整点报时模块 学生姓名:XXX 学号201265110204 班级 :1203班 专业:电子信息与科学 指导教师:XXX 2014年12月20日 长沙理工大学课程设计任务书 物理与电子科学学院电子信息与科学专业1203班姓名王玲课程名称EDA技术基础 题目数字钟的设计

长沙理工大学课程设计成绩评定表

目录 1数字钟设计闹钟模块基本任务要求4 2设计思想4 3简述闹钟模块的输入与输出5 4分进程描述6 5仿真结果与分析7 6简述数字钟的设计总成果10 7总结11 参考文献13 代码附件13

基本任务要求:运用QuartusⅡ13.1软件平台,用VHDL语言描述并设计的闹钟模块满足可调闹钟时间,当时钟时间到达闹钟时间后会响闹铃,(由于实验室权限问题会以FPGA开发板上12个LED灯交替发光来表现);整点报时过程表现为整点的前十秒内响铃,(以FPGA开发板上一个LED灯交替发光来实现)。 设计思想:闹钟模块要以分频模块、计数器模块和译码显示模块为基础,将闹钟模块分为三个进程,一个进程用来实现调闹钟,一个进程来实现闹响闹钟(即实现LED灯交替发光),另一个进程来是实现整点报时。 (上面截图为数字钟整体编译后的RTL电路的闹钟模块) 简述闹钟模块图的输入与输出:上图中的输入粗黑实线为计数器模块输出的小时、分钟的高低位和秒钟的高位(都用四位的二进制表示);输出的粗黑实线为定的闹钟时间(包括小时和分钟),将送到译码显示模块显示闹钟时间,闹钟时间与时钟都在FPGA上的数码管显示,用二选一实现交替显示。clk和clk1都是经过分频器分出的不同频率的信号分别用于整点报时的闪灯脉冲与闹钟调时、闹响的闪灯脉冲。

病床呼叫系统控制课程设计..

目录 第1 章控制工艺流程分析 (1) 1.1 病床呼叫系统控制过程描述 (1) 1.2 病床呼叫系统控制工艺分析 (1) 第2 章控制系统总体方案设计 (2) 2.1 系统硬件组成 (2) 2.2 控制方法分析 (2) 2.3 I/O分配 (3) 2.4 系统结线图设计 (4) 第3 章控制系统梯形图程序设计 (5) 3.1 控制程序流程图设计 (5) 3.2 控制系统时序图 (5) 3.3 控制程序设计思路 (7) 第4 章监控系统设计 (8) 4.1 PLC 与上位监控软件通讯 (8) 4.2 上位监控系统组态设计 (8) 4.3 实现的效果 (8) 第5 章系统调试及结果分析 (10) 5.1 系统调试及解决的问题 (10) 5.2 结果分析 (10) 课程设计心得 (11) 参考文献 (12) 附录 (13)

第 1 章控制工艺流程分析 1.1 病床呼叫系统控制过程描述 本课程设计主要用于医院病务区的病人有紧急或自己不方便的事件时呼叫护士站寻求帮助,护士根据站内指示灯及响铃获取求助信息的来源,并能及时的给其提供帮助。护士在站内发现信号时及时将站内灯及响铃复位,在处理完后再在病床前的开关将病床及病房的灯复位。 1.2 病床呼叫系统控制工艺分析 病床呼叫系统有主机、从机等两部分组成。从机(呼叫源)即病床按钮,主机包括PLC及显示和监护系统。主机中PLC工作方式为循环扫描方式,在系统程序控制下,PLC顺序读入输入端口各呼叫源的状态,并且不断地循环扫描。一旦有呼叫按钮按下,PLC立即响应,通过设置的程序实现对系统的控制。 ①拟定控制系统设计的技术要求; ②选择电气传动形式和电动机、电磁阀等执行元件; ③选定PLC型号; ④编制PLC的输入/输出端子接线图; ⑤根据系统设计的要求编写软件规格说明书,然后在用相应编程语言进行程序设计; ⑥设计操作台、电气柜及非标准电器元件; ⑦编写设计说明书和使用说明书。 1.共3个病房,每间病房4个床位。每一病床床头均有紧急呼叫按钮及重置按钮,以利病人不适时紧急呼叫。 2.设每一层楼有一护士站,每一护士站均有该层楼病人紧急呼叫与处理完毕的重置按钮。 3.每一病床床头均有一紧急指示灯,一旦病人按下紧急呼叫按钮且未在5s内按下重置按钮时,该病床床头紧急指示灯动作且病房门口紧急指示灯闪烁,同时同楼层的护士站显示病房紧急呼叫并闪烁指示灯。 4.在护士站的病房紧急呼叫中心,每一病房都有编号,用指示灯显示哪一病房先按下病人紧急呼叫按钮,并要具有优先级判别的能力。 5.一旦护士看见护士站紧急呼叫闪烁灯后,须先按下护士处理按钮以取消闪烁情况,再依病房紧急呼叫顺序处理病房紧急事故,若事故处理妥当后,病房紧急闪烁指示灯和病床上的紧急指示灯方町被重置。 6.主机监控系统显示后,出现相应的声、光报警指示,以便提示医护人员尽快赶到现场。

病房呼叫系统方案

呼叫系统方案 主机与病员一览表合二为一,并设有万年历、呼叫床位指示灯和病房床位数码显示窗口,外壳超薄型设计,造型特别精巧,可壁挂、桌面任意放置;分机采用最新编码电路编号,变更床号只需更换编码芯片即可,外壳采用了全塑外扣式设计,适用于任何形式的安装配套;分机为内压线式接线,实现了房间内无断线的连接。使用、安装、维护更安全更方便。: 1、该医院呼叫系统双向呼叫、双功通话:分机可呼叫主机,主机也可呼叫分机,送话受话无需转换。 2、主机多功能显示:主机可显示万年历,护理等级,呼叫床号、序号等。 3、三级护理设置:可根据病员病情任意设置高、中、低级护理床位,并在主机上有不同颜色的灯显示。 4、高级优先:高级病员的呼叫可以中断低级病员的通话。 5、话筒统播:可通过主话机作下通知、找人等统播。 6、宣教广播:可接入录音机等信号源作宣传教育广播。 7、主机免提:分机呼入时主机可免提与分机对讲。 8、无中断呼叫:无论在通话、广播等状态只要有呼叫均能呼入并给出显示。 9、呼叫存储:分机呼叫而主机无人接时主机将该分机号存储。 10、走廊显示屏:可显示呼叫分机号、存贮分机号和呼叫序号;无呼叫存贮时显示时间。 11、与计算机连网:由计算机将病员的呼叫时间、呼叫次数、处理时间、超时处理等进行统计,为考核服务质量提供技术上的保证。 12、故障自检报警:当系统出现故障时主机显示窗口及显示屏均能给出数字显示,并伴有声响报警提示: 1、主机最大分机容量:50门、60门 2、最长连线距离:800米 3、最大存储量:9个 4、电源:220V±10%、50Hz 5、工作方式:连续 6、功耗:<20W 7、总线电压:18V 8、工作条件:环境温度0~40℃相对湿度≤80% 医院呼叫系统使用方法: 1、分机呼叫主机:按一病房呼叫系统分机床头垂线呼叫按钮。分机上“叫通指示”灯点亮主机发出音乐振铃声,病员一览表相对应的卡片上有灯光闪烁,同时分机也伴有音乐振铃声。数码显示窗口上显示该分机号。在音乐振铃期间,护士摘起主话机即可与病员通话,通话结束,将主话机挂机,系统恢复待机状态。如果直到振铃结束,护士未摘主话机,主机将该分机号存贮,若想再与该分机通话,需按主机呼叫分机操作。 2、主机呼叫分机:摘起主话机按一下欲呼叫的床位对应的小按钮或是在主话机上键入两位分机号(1-9号应按01-09),被叫通的分机将发出“嘟”一声提示音表示已接通。如果所拨叫的分机不存在,听筒里将发出“嘀、嘀、嘀、嘀—”的声音作为提示,然后重新给出拨号

EDA课程设计说明书参考格式

<>课程设计说明书 题目 院、部: 学生姓名: 指导教师:王晓丽职称助教 专业: 班级: 完成时间:

摘要(三号,黑体,居中,字间空两格字符) (空二行换行) 空4格打印摘要内容(小四号宋体,行距20)。 关键词:(摘要内容后下空一行打印“关键词”三字(小四号黑体),其后为关键词(小四号宋体),每一关键词之间用分号隔开,最后一个关键词后不打标点符号。 ABSTRACT ①居中打印“ABSTRACT”,再下空二行打印英文摘要内容。②摘要内容每段开头留四个空字符。③摘要内容后下空一行打印“Key words”,其后为关键词用小写字母,每一关键词之间用分号隔开,最后一个关键词后不打标点符号。 Key words :aaa;bbb;ccc

目录(3号,黑体,居中) (空1行,以小4号黑体设置字体及大小,行间距22、字间距标准) 1 XXXXXX………………………………………………………………………… 1.1 XXXXXX……………………………………………………………………… 1.2 XXXXXX……………………………………………………………………… ┇ 2 XXXXXX………………………………………………………………………… 2.1 XXXXXX……………………………………………………………………… 2.2 XXXXXX……………………………………………………………………… ┇ 3 4 结束语 参考文献………………………………………………………………………………. 致谢……………………………………………………………………………………附录……………………………………………………………………………………

PLC病床呼叫系统要点

广东石油化工学院 机电工程学院 课程设计报告 设计题目:病床呼叫系统 指导教师:邓昌奇 专业:机械设计制造及其自动化班级: 姓名: 学号:

设计二病床呼叫系统 摘要 课程设计是整个教学过程的倒数第二个环节,是将课堂教学与社会实践紧密联结的纽带,为明年的毕业设计做铺垫和让我们做好思想准备。通过课程设计,可以将我们课堂上所学的理论知识应用于生产实践中,去解决具体的工程应用问题,加强实践能力的锻炼,提高分析问题与解决问题的能力。 课程设计主要用于医院病务区的病人有紧急或自己不方便的事件时呼叫护士站寻求帮助,护士根据站内指示灯及响铃获取求助信息的来源,并能及时的给其提供帮助。护士在站内发现信号时及时将站内灯及响铃复位,在处理完后再在病床前的开关将病床及病房的灯及铃复位。 关键词:PLC、工作原理、复位

目录 设计要求、设计任务 (1) 第一章方案构思 (2) 1.1 可编过程控制器的基本结构及工作原理 (2) 1.2 整体方案设计思路 (2) 第二章控制系统总体方案设计 (4) 2.1 PLC简介 (4) 2.2 系统硬件组成 (4) 2.3 控制方法分析 (4) 2.4 I/O分配 (4) 第三章PLC控制程序设计 (6) 3.1 I/O接线图设计 (6) 3.2控制系统流程图设计 (7) 3.3控制系统时序图 (7) 3.4实现的效果 (8) 第四章程序设计与调试 (10) 4.1系统调试及解决的问题 (10) 4.2结果分析 (10) 总结 (11) 参考文献................................................................................... . (11) 附录一 (12) 附录二 (18)

数字电子技术课程设计报告-病床呼叫系统

数字电子技术课程设计报告题目:病床呼叫系统 班级: 姓名: 指导老师: 组号:

目录 1、设计任务及要求 (3) 2、方案设计 (3) 3、各单元电路设计与分析 (4) 3.1、开关控制及指示灯与数码管显示部分 (4) 3.2、优先编码部分 (5) 3.3、5s闪烁呼叫模拟部分 (6) 4、总体电路原理图及元器件清单 (7) 4.2、电路原理图 (8) 4.2、原件清单 (10) 5、电路仿真及仿真结果分析 (11) 6、电路功能测试方案与测试结果 (12) 7、作品照片图 (12) 8、结论与心得体会 (13) 9、参考文献 (13)

病床呼叫系统 1、设计任务及要求: 用中小规模集成电路设计病床呼叫系统逻辑电路的具体要求如下: (1)分别用1~5个开关模拟5个病房的呼叫输入信号,5个呼叫优先级不同。 (2)用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示)。 (3)凡有呼叫发出5s的呼叫声(可通过LED灯5s闪烁模拟)。 (4)当护士接收到信号,按下复位键时数码管被清零,而且不能影响下次呼叫的进行。 2、方案设计: 临床求助呼叫是传送临床信息的重要手段,病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生和护士,并在值班室的监控屏幕上留下准确完整的记录,是提高医院和病房护理水平的必备设备之一。监控机构一般放置在护士值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮呼叫指示灯。监控机构和呼叫源之间通过数据线连在一起。 本设计为一个模拟系统,通过各类芯片的组合来实现该系统的基本功能,完成各项操作。病床呼叫系统能对5张病床进行统一监护,能够对不同优先级的呼叫进行优先响应处理,对发出呼叫的病床有指示灯进行提示,还能显示优先级最高的呼叫号,并发出5s的呼叫声(用一个闪烁的指示灯模拟),当护士接收到信号,按下复位键时显示管被清零。 通过对设计的要求分析,可以将电路大致分为四大模块,触发清零模块、编码及译码器译码模块、滤除优先级及显示模块、计数器5s警报模块,通过对四大模块的整合,即可基本达到实验要求,实现系统功能。如图1所示,该系统分为三大部分:左边方框是病房的呼叫输入端,包括5个呼叫按钮;右边方框为护士站的呼叫处理端,包括5个指示灯、一个数码管显示器和一个响应复位开关; 图1 病床呼叫系统设计框图

病房呼叫系统

电气工程学院 数字电子电路课程设计报告书 姓名:XX 班级:XXXXXXXXXXXXX 学号:XXXXXXXX 完成日期:XXXXXXXXXXXX

目录 设计要求--------------------------------------------------------------------------3 一、整体设计构想--------------------------------------------------------------3 二、仿真软件简要介绍--------------------------------------------------------3 三、系统分模块设计过程-----------------------------------------------------3 1、开关控制指示灯模块---------------------------------------------------------------3 2、编码器与译码器转换模块----------------------------------------------------------4 3、数码管显示模块-------------------------------------------------------------------7 4、蜂鸣器呼叫模块-------------------------------------------------------------------7 四、系统完成电路图-----------------------------------------------------------8 五、课程设计总结--------------------------------------------------------------9

EDA课程设计参考题目

附录I EDA课程设计参考题目 注:在以下设计中只可以用一个参考时钟 一、设计数码管显示控制器 要求: 1.能自动一次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列),0、1、2、3、4、5、6、7、0、1(音乐符号序列);然后再从头循环; 2.打开电源自动复位,从自然数列开始显示。 二、设计乒乓球游戏机 要求: 1.用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍; 2.一方发球后,球以固定速度向另一方运动(发光二极管依次点亮),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规,各自加1分; 3.甲、乙各有一数码管计分; 4.裁判有一个按钮,是系统初始化,每次得分后,按下一次。 三、设计智力竞赛抢答器 要求: 1.五人参赛每人一个按钮,主持人一个按钮,按下就开始; 2.每人一个发光二极管,抢中者灯亮; 3.有人抢答时,喇叭响两秒钟; 4.答题时限为10秒钟,从有人抢答开始,用数码管倒计时间,0、9、8…1、0;倒计时到0的时候,喇叭发出两秒声响。 四、设计数字钟 要求: 1.输入10HZ的时钟;(提示:对已有kHz频率时钟进行分频) 2.能显示时、分、秒,24小时制; 4.时和分有校正功能; 5.整点报时,喇叭响两秒; 6.可设定夜间某个时段不报时; 注意:硬件资源的节约,否则器件内资源会枯竭。 五、设计交通灯控制器 要求: 1.东西方向为主干道,南北方向为副干道; 2.主干道通行40秒后,若副干道无车,仍主干道通行,否则转换; 4.换向时要有4秒的黄灯期;

EDA课程设计题目

计算机辅助设计参考题目 设计一数字式竞赛抢答器 1、设计一个可容纳6组(或4组)参赛的数字式抢答器,每组设一个按钮,供抢 答使用。 2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 3、设置一个主持人“复位”按钮。 4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显 示抢答组别,扬声器发出2~3秒的音响。 5、设置一个计分电路,每组开始预置100分,由主持人记分,答对一次加10 分,答错一次减10分。 教学提示: 1、此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使 触发器或锁存器,在得到第一信号后将输入封锁,使其它组的抢答信号无效。 2、形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别, 用第一抢答信号推动扬声器发出音响。 3、计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减10 分,所以个位始终为零,只要十位、百位进行加/减运算即可。 设计二数字钟 1、设计一个能显示1/10秒、秒、分、时的12小时数字钟。 2、熟练掌握各种计数器的使用。 3、能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。 4、能用低位的进位输出构成高位的计数脉冲。 教学提示: 1、时钟源使用频率为0.1Hz的连续脉冲。 2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。 3、时钟显示使用数码管显示。 4、“时显示”部分应注意12点后显示1点。 5、注意各部分的关系,由低位到高位逐级设计、调试。 设计三数字频率计 1、设计一个能测量方波信号的频率的频率计。 2、测量的频率范围是1Hz~9999Hz。 3、结果用十进制数显示。 教学提示: 1、脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被 测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。 所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。 2、被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。 3、再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms, 10ms,0.1s,1s等,时基信号的选择可以控制,即量程可以改变。 4、时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时 基信号的一个周期),输入信号才通过主控门。 5、f=N/T,改变时基信号的周期T,即可得到不同的测频范围。

组态王病床呼叫系统

病床呼叫系统课程设计报告 专业: 年级班级: 姓名: 学号: 指导教师:

目录 一、引言 (1) 1.1 设计背景 (1) 1.2 方案简介 (2) 二、设计思路 (4) 2.1 技术要求 (4) 2.2 设计任务 (5) 三、系统实现 (6) 3.1 PLC基础 (6) 3.1.1 PLC简介 (6) 3.1.2 PLC系统组成 (7) 3.1.3 PLC扫描过程 (8) 3.1.4 PLC程序执行过程 (8) 3. 2 组态王基础 (9) 3.3 组态王实现步骤 (11) 3.4 系统设计 (13) 3.4.1 数据词典设计 (13) 3.4.2 画面设计 (15) 3.4.3 应用程序命令语言设计 (16) 四、系统运行 (22) 五、系统调试 (23) 六、心得体会 (23)

一、引言 1.1设计背景 随着科学技术的迅猛发展,近年来我国的PLC技术也得到了迅 速的发展与应用。一些医院的病床呼叫器也在不断的修正与改进。PLC控制系统由于具有运行可靠性高、使用维修方便、抗干扰性强、设计和调试周期较短等优点,所以倍受广大科研工作者的重视。目前, PLC 已成为在呼叫控制系统中使用最多的控制方式,同时它 也广泛应用于传统控制系统的技术改造。当前国内七八十年代安装 的许多呼叫控制系统,由于线路复杂、接线多、故障率高、维修保 养难,许多已处于闲置状态,其效率低,性能指标较差,严重影响 运行质量。因此对呼叫控制技术进行研究,寻找适合我国现代呼叫 的改造方法具有十分重要的意义。 病房呼叫系统(图1)作为医院的重要呼叫工具,与医护人员 及患者的工作和生活密切联系。PLC 作为新一代工业控制器,以 其高可靠性和技术先进性,在许多领域中都得到了广泛的应用。 图1 1

05病房呼叫系统方案

目录 病房呼叫系统 (1) 1系统概述 (1) 2需求分析 (2) 3设计依据与设计原则 (2) 4系统设计说明 (3) 4.1 系统的基本组成 (3) 4.2 系统的基本功能 (3) 4.3 系统点位设置 (4) 5系统主要设备介绍 (5) 5.1 三十路对讲主机(型号:NBW-30) (5) 5.2 三十路病员一览表(型号:NBW-30P) (5) 5.3 嵌入式分机(型号:NBW-A) (6) 5.4 防水报警开关(型号:NBW-AN) (6) 5.5 输液报警器(型号:NBW-G) (6) 5.6 外配电源(型号:NBW-B-05) (7) 5.7 小门灯(型号:NBW-M) (7) 5.8 无线发射机(型号:NBW-T) (7) 5.9 无线接收机(型号:NBW-R) (8)

病房呼叫系统 1系统概述 对讲-医院护理对讲系统(双工、两芯总线制)主要用在:医院、疗养院、敬老院等需要护理对讲的场所。 社会不断的进步。物质生活和精神生活越来越丰富多彩。健康快乐的生活是每一个人的愿望。但疾病一直以来伴随着人类。每个人或多或少的会生病。就要看病、打针、吃药、住院。医院也不断地完善着医护人员医技、服务质量和医疗设备。以服务于大众。提高人们的生活质量。真正做到有病医得起、看得好。创造出一个和谐的社会。 在医疗设备方面。医护对讲系统是医院不可或缺的通信设备。医院护理对讲系统采用两芯总线制系统架构。方便施工。根据不同的机型每条总线可并接30或60台分机。分机之间是平级关系。在总线上发送数字脉冲地址码。以便于被主机识别。主机提供485通信接口。可以连接射频发射机、显示屏、监控统计集线器。医护人员在巡视过程中。可以利用携带的射频接收机接受射频发射机传来的数据。以确定病床呼叫。走廊显示屏提供警示作用。能够显示呼叫分机号码便于医护人员查看。系统每日的通信信息可以通过监控统计集线器接入计算机。进行数据处理。可以表格形式打印。以便管理人员查询。输液报警器是医护对讲系统中的新产品。配合分机使用。输液管夹装在其中。当输液完毕时有“Bi、Bi”报警提示声,输液报警器同时自动阻断输液管。并通过分机向护士站的主机发送输液完毕报警信号。单独使用时,需另配电源。为了医院管理的方便。系统主机提供并接功能。最多可实现四级管理。 医院护理对讲系统电路设计合理、工作稳定、维护率低。是医院理想的通信设备。系统包括有多种容量的对讲主机,如三十路对讲主机、三十路病员一览表、六十路对讲主机、六十路病员一览表,超薄分机、嵌入式分机、防水开关、输液报警器、外配电源、小门灯、点阵双面走廊显示屏、无线发射机、无线接收机、监控统计集线盒、监控统计软件等构成。

相关文档
最新文档