计算机组成原理复习笔记学习资料

计算机组成原理复习笔记学习资料
计算机组成原理复习笔记学习资料

计算机组成原理复习

笔记

计算机组成原理复习笔记

前件知识:

基本电路知识

与、或、非、异或、与非、或非等如下表所示:

第一章计算机系统概论

1.计算机系统组成

计算机系统组成:计算机组成原理由硬件系统与软件系统组成。

根据冯诺.依曼的存储程序控制原理由五大部件组成:运算器、控制器、存储器、输入设备和输出设备。

软件系统:系统软件、应用软件

摩尔定律:记住价格与时间成反比。

2.计算机系统性能指标

字长(处理机字长)是指计算机处理器一次能够完成的二进制位数(16,32,64)。MIPS是指计算机处理器每秒执行百万条指令。

3.计算机系统的层次结构

计算机系统的层次结构分为五级以下图所示:

第二章 运算方法和运算器

1.原码、反码、补码、移码的计算方法 性质 原码

反码 补码 移码

正数 原码反码补码一样

移码主要用于

表示浮点数中

的阶码

负数

连同符号位一起使用二进制表示 除符号位以外其余位取反(0变1,1变0) 除符号位外其余

位取反加1(性质等于在反码基

础之上加1)

[+0]原=00...00 [-0]原=10...00 [+0]反=00...00 [-0]反=11...11 [+0]补=[-0]补=00 (00)

补码的真值公式:将所有位上的数按基数2n-1(n 为数所在的位置)相乘后求出和,这个和的结果就是补码的真值。计算某个数大于或小于某个值得条件。

例:[X]补=(01101)2,[Y]补=(11101)2。则X 与Y 的十进制数是多少。 X=-0×24+1×23+1×22+0×21+1×20=8+4+1=(+13)10 Y=-1×24+1×23+1×22+0×21+1×20=-8+4+1=(-1)10

2.原码、反码、补码、移码的表示范围(n 位二进制位数) 码制 定点整数 定点小数 范围

举例(n=8) 范围 原码

-(2n-1-1)~+(2n-1--127~+127

-(1-2-(n-1))~+(1-2-(n-

第5级 第4级 第3级 第2级 第1级

浮点数的表示范围就省略了。

3.BCD和ASCLL码

BCD:俗称8421码。使用4位二进数才表现一位十进制数。(4位)

ASCLL码:用于表示字符。(用一个字节八位来表示,只使用了七位。最前后一位始终为0).记住特殊的字符的ASCLL码值:

4.校验码:奇偶校验

奇偶校验(含校验码的个数) 110101 数

奇校验奇数个1 110101 1

偶校验偶数个1 110101 0

奇偶校验提供奇数个错误检测,无法检测偶数个错误,更无法识别错误信息的位置。5.变形补码与溢出

判断溢出方法:

(1)双符号位两个符号位异号,用异或门实现

变形补码是判断溢出的一种检测方法。采用变形补码后,任何正数,两个符号位都是“0”,任何负数,两个符号后都是“1”。如果两个数相加后,在符号位中出现了“10”或“01”则说明溢出。参考下表判断是否溢出。

(2)单符号位最高位的进位和符号位进位异号。

结果溢出判断依据下表所示:

6.运算器

(1)运算器是中央处理器的组成部分。主要功能是完成计算机的算术运算与逻辑运算。

运算器的组成部件有:算术逻辑运算单元(ALU)、数据缓冲寄存器、通用寄存器、多路转换器和数据总线等逻辑构件。

(2)提高运算器高速性采用的措施:

●先行进位

●阵列乘除法

●流水线

(3)74181ALU(算术逻辑运算单元) :4位算术逻辑运算单元,支持16种逻辑运算、16种算术运算

组内先行进位

(4)74182CLA(先行进位发生器)先行进位部件,可以实现多组74181ALU的组间先行进位

组合形式

(5)ALU的设计:片内先行进位,片间串行进位。16位ALU和32位ALU的设计。

(6)编址:字节编址与字编址

字节编址:

某计算机内存按字节编址,内存地址区域从44000H到6BFFFH,共有___(11)___K字节。若采用16K×4bit的SRAM芯片,构成该内存区域共需___(12)___片。

算法为6BFFFH-44000H+1H=28000H=163840/1024=160K (1+F=16 逢十六进一,所以

6BFFFH+1H=6C000H)

内存按字节编址,这163839应该是Byte。内存160K,8bit,采用16K×4bit的SRAM芯片,需要160/16×8/4=20片

字编址:给出容量、字长,计算寻址范围

例:字长32位,存储容量64KB,寻址范围 64KB/32=64K*8/32=16K

按字编址的寻址范围是:0~64M。也即0000000~3FFFFFFH

计算步骤:256M字节=256*1024*1024*8位,按计算机按32位字长单字编址。

则单字的位数为32位,范围为(256*1024*1024*8位)/32位=64M。

此外若计算机按32位字长半字编址。则半字的位数为16位,范围为(256*1024*1024*8位)/16位=128M

计算机按32位字长双字编址。则双字的位数为64位,范围为(256*1024*1024*8位)/64位=32M

第三章多层次的存储器

1.存储器的层次

因为对容量大、速度快、成本低要要求,在一个存储器中要求同时兼顾这三方法是不容易的。多级存储器体系结构,即使用高速缓冲存储器、主存储器、外存储器,来解决这个矛盾。CPU 可以直接访问内存储器(主存储器和cache),而不能直接访问外存储器(磁盘和光盘)。

2.外存和内存比较

3.半导体随机读写存储器

半导体随机读写存储器分为静态随机存储器(SRAM)和动态随机存储器(DRAM)的。

4.DRAM的两种刷新方式

DRAM刷新方式:集中式刷新、分散式刷新;

集中式刷新:每隔一段时间连接刷新所有行。

分散式刷新:每隔一段时间刷新一行。

5.主存的性能指标

存储容量、存取时间、存储周期、存储器带宽。

存储容量:存储器中可以存放内容的存储单元总数。

存取时间:一次读/写的时间。

存储周期:存储器进行连续读和写操作所允许的最短时间间隔。

存储器带宽:单位时间内存取的信息量(b/s,B/s做量度)。

存取时间、存储周期、存储器带宽三个概念反映了主存的速度指标。

6.存储器扩展

存储器容量的扩充主要有字长位数扩展和字存储容量扩展。

(1)字长位数扩展

d=设计要求的存储器容量/已知芯片存储容量

例:利用1MX4位的SRAM芯片,设计一个存储容量为1MX8位的SRAM存放器。d=(1MX8)/(1MX4)=2(片)

(2)字存储容量扩展

例:利用1MX8位的DRAM芯片,设计2MX8位的DRAM存储器。

d=(2MX8)/(1MX8)=2(片)

7.地址线与数据线

一个512K×16的存储器,其地址线和数据线的条数

地址线:512K=512*1024=29*210=219,所以地址线为19。

数据线:16就是数据线。

8.只读存储器和闪速存储器

只读存储器(ROM):掩模ROM,可编程ROM(EPROM和E2PROM);

闪速存储器:Flash存储器。

EPROM:光擦可编程的只读存储器

E2PROM:电擦可编程的只读存储器

9.提高存储器访问速度的方法:

●双端口存储: 两套相互独立的读写电路

●交叉存储:同时读写,顺序传送(流水)

Cache:解决CPU和主存之间的速度匹配问题

10.地址映射和替换算法

11.虚拟存储器

采用虚拟存储器的主要目的是扩大存储器空间,并能进行自动管理。

常用的虚拟存储器系统由哪两级存储器组成?主存——辅存

第四章指令系统

1.指令

计算机程序:由一系统机器指令组成。

机器指令:被称为指令,由操作码字段(操作性质)与地址码字段(操作数,地址,结果等)组成。介于微指令与宏指令之间。每一条指令可以完成一个独立的算术运算或逻辑运算操作。

从操作数的物理位置来说,可将指令归结为三种类型:

寄存器—寄存器型(RR)、寄存器—存储器型(RS)、存储器—存储器型(SS)

2.指令系统

3.计算机寻址方式

4.程序控制类指令的功能是?

答:程序控制指令用于程序执行流程的控制。程序控制指令又称转移指令,主要是改变程序执行的顺序。

5.汇编语言特性:硬件相关、编制难度大、执行速度快。 第5章

中央处理器 1.中央处理器

中央处理器(CPU )的作用:指令控制,操作控制,数据加工。由运算器、控制器和寄存器组构成。

CPU 中的主要寄存器有:程序计数器(PC )、指令寄存器(IR )、地址寄存器(AR )、缓冲寄存器(DR ),通用寄存器(R 0~R 3)、。状态条件寄存器(PSW )。 2.操作控制器

3.指令周期

指令周期是指CPU从主存取出一条指令加上执行这条指令的时间。

4.水平型微指令与垂直型微指令比较

5.并行处理技术

并行性:并发性是指两个以上的事件在同一时间间隔内发生。

一个m段流水线处理器提高的效率

计算:第一条指令m,其他指令1,若有n条指令则m+(n-1),效率mn/[m+n-1] 若n很大,则mn/[m+n-1]约等于mn/n,即m

第六章总线系统

1.系统总线

总线是构成计算机系统的互联机构,是多个系统功能部件之间进行数据传递的公共通路。

2.总线仲裁方式

3.单总线系统

从信息流的传送效率来看,单总线系统工作效率最低。

4.同步通信比异步通信具有较高的传输频率的原因

原因:用一个公共的时钟信号(统一时序信号)进行同步

第七章外存与I/O设备

1.磁盘存储器

2.磁盘驱动器向盘片磁层记录数据时,采用的写入方式是串行的还是并行的?答采用的是并行。

第八章输入输出系统

1.I/O控制方式

2.DMA控制器与CPU分时使用内存时,通常采用那几种方法?

答:停止CPU访问、周期挪用、DMA和CPU交替访存。

采用DMA方式传送数据时,每传送一个数据要占用一个存储周期的时间。计算题:

1、负整数原码表示,反码表示,补码表示和移码表示(用8位二进制表示,并设最高位为符号位,真值

为7位)。例如:-12

解题思路:

原码:先将十进制数换算成二进制表示。将其使用八位二进制进行表示,并将最高位设置为符号位,如正数是0表示,负数为1。这样表示出来的是原码。

反码:正数的反码为原码。负数为原码除符号以外其余位取反(原来为1的,反码中则为0,否则为1)。

补码:正数的补码为原码。负数为反码+1。注意溢出的判断(参考上面的解释)。

移码:正数与负数的移码都为补码符号位取反之后表示。

根据上述求解过程得出:

[-12]原=10001100;[-12]反=11110011;[-12]补=111110100;[-12]移=01110100;

2、已知X=(1100)2,Y=(-1101)2,用变形补码计算X+Y、 X-Y,并指出是否溢出。

解题思路:

先把X,Y原码,补码求出。并在在补码中使用两来来表示符号位进位情况。使用两个数进行相加结果两位符号进位中出现”01”和”10”则出现溢出情况。01为正溢,10为负溢。减法中,可以把减数当成负数进行计算,则X-Y=X+(-Y)。

根据上述计算规则,结果:

[X]原=[X]补=001100;

[Y]原=11101;[Y]补=110010;[-Y]补=001101;

X+Y=[X]补+[Y]补。

[X]补001100

+[Y]补110010

---------------------------------

[X+Y]补111110

两个符号位出现了“11”,没有溢出。

X-Y=[X]补+[-Y]补。

[X]补001100

+[-Y]补001101

---------------------------------

[X+Y]补011001

两个符号位出现了“01”,表示正溢出。

3、用原码阵列乘法器计算X×Y,X为0011,Y为-1101。

解题思路:先求出[X]原、[Y]原。再求出|X|和|Y|。|X|X|Y|。[X]原=0011;

[Y]=11101,[Y]原=11101;

|X|=11;|Y|=1101;

|Y| X |X| = 1101

X 11

------------------------

1101

1101

-------------------------

100111

固[XXY]原=1 100111.

简答题:

1.一个定点补码整数[N]补=X n X n-1……X0,写出补码的真值公式。解:[N]补的真值:-X n*2n-1+X n-1*2n-2+….+X0*2n-n;

例:[X]补=011101;[Y]补=111101;求其补码真值;

[X]补补码值为0*25+1*24+1*23+1*22+0*21+1*20=0+16+8+4+0+1=29

[Y]补补码值为-1*25++1*24+1*23+1*22+0*21+1*20=-32+16+8+4+0+1=-3

2. 利用定点小数的补码真值公式,解决问题,例P62的第2题

例P62的第2题:

设[x]补=a7.a6a5….a0,其中ai取0或1,若要x>-0.5,求a0,a1,a2,…,a6的取值。

当a7=0时,无论a6~0取什么值,[x]补的真值都>-0.5;

当a7=1时,a6=1,a5~0之间至少有一个不为0.则[x]补的真值会>-0.5;

例:[x]补=1.1110000,其真值为;

[x]补=-1*20+1*2-1+1*2-2+1*-3+0*2-4+0*2-5+0*2-6+1*2-7=-1+0.5+0.25+0.125+0+0+0+0=-0.125;

3. 指令和数据均存放在内存中,计算机如何从时间和空间上区分它们是指令还是数据?

从时间上讲,取指令事件发生在“取指周期”;取数据事件发生在“执行周期”。

从空间上讲,从内存读出的指令流向控制器(指令寄存器);从内存读出数据流流向运算器(通用寄存器)。

4. 提高存储器速度可采用哪些措施,请说出至少五种措施。

①采用高速器件②采用cache (高速缓冲存储器)③采用多体交叉存储器

④采用双端口存储器⑤加长存储器的字长

5. 请说明指令周期、机器周期、时钟周期之间的关系。

指令周期是指取出并执行一条指令的时间,指令周期常常用若干个CPU周期数来表示,CPU周期也称为机器周期,而一个CPU周期又包含若干个时钟周期(也称为节拍脉冲或T 周期)。

6. RISC指令系统的三个重要特点是什么?

(1)选取使用频率最高的一些简单指令,以及很有用但不复杂的指令。

(2)指令长度固定,指令格式种类少,寻址方式种类少。

(3)只有取数/存数指令访问存储器,其余指令的操作都在寄存器之间进行。

应用题

应用题

1、8K*8位EPROM芯片组成16K*16位的只读存储器,试问:

(1)数据寄存器多少位? 16

(2)地址寄存器多少位? 14

(3)共需要多少个EPROM芯片? 16K*16/(8K*8)=4

(4

解题过程:(下同)

(1)数据寄存器位数就是就是扩充后的数据位数即16K*16位中的后一个16。

(2)即16K=214中14。

(3)D=设计要求的存储器容量/已知芯片存储容量

(4)图如上所示。

8K*8位EPROM芯片组成32K*16位的只读存储器,试问?

(1)数据寄存器多少位? 16

(2)地址寄存器多少位? 15

(3)共需要多少个EPROM芯片? 32K*16/(8K*8)=8

(4)画出此存储器与CPU的连接图。

2、指令格式如下所示,OP为操作码字段,试分析指令格式特点。

(1)单字长还是双字长,单地址还是多地址

(2)OP操作码字段最多指定多少种操作

(3)寻址方式、

RS、SS、RR中的何种,寄存器个数,寻址方式个数参考书上的例子。P121

3. 画出微程序控制器组成框图。P158,图5.23

4.请画出中断处理过程流程图。

5. 解释流水线中都有哪些主要问题,其中数据相关有哪几种,举一例说明。

写出流水线中的三类数据相关,并判断以下指令各存在哪种类型的数据相关。

P171-P172

解:要使用流水线具有良好的性能,必须使流水线畅通流动,不发生断流。但由于流水过程中会出现以下三种相关冲突,实现流水线的不断流是困难的,这三种相关是资源相关、数据相关和控制相关。

三类数据相关:写后读(RAW)相关;读后写(WAR)相关;写后写(WAW)相关。

指出存在那些数据相关。参考P172。

6. 用时空图法证明流水计算机比非流水计算机具有更高的吞吐率。

指令流水线有取指(IF)、译码(ID)、执行(EX)、写回寄存器堆(WB)4个过程段P170 图5.31 b c

计算机组成原理第四章作业答案

第四章作业答案 解释概念:主存、辅存,Cache, RAM, SRAM, DRAM, ROM, PROM ,EPROM ,EEPROM CDROM, Flash Memory. 解:1主存:主存又称为内存,直接与CPU交换信息。 2辅存:辅存可作为主存的后备存储器,不直接与CPU交换信息,容量比主存大,速度比主存慢。 3 Cache: Cache缓存是为了解决主存和CPU的速度匹配、提高访存速度的一种存储器。它设在主存和CPU之间,速度比主存快,容量比主存小,存放CPU最近期要用的信息。 4 RAM; RAM是随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。 5 SRAM: 是静态RAM,属于随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。靠触发器原理存储信息,只要不掉电,信息就不会丢失。 6 DRAM 是动态RAM,属于随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。靠电容存储电荷原理存储信息,即使电源不掉电,由于电容要放电,信息就会丢失,故需再生。 7 ROM: 是只读存储器,在程序执行过程中只能读出信息,不能写入信息。 8 PROM: 是可一次性编程的只读存储器。 9 EPROM 是可擦洗的只读存储器,可多次编程。 10 EEPROM: 即电可改写型只读存储器,可多次编程。 11 CDROM 即只读型光盘存储器。 12 Flash Memory 即可擦写、非易失性的存储器。 存储器的层次结构主要体现在什么地方?为什么要分这些层次?计算机如何管理这些层次? 答:存储器的层次结构主要体现在Cache—主存和主存—辅存这两个存储层次上。 Cache—主存层次在存储系统中主要对CPU访存起加速作用,即从整体运行的效果分析,CPU访存速度加快,接近于Cache的速度,而寻址空间和位价却接近于主存。 主存—辅存层次在存储系统中主要起扩容作用,即从程序员的角度看,他所使用的存储器其容量和位价接近于辅存,而速度接近于主存。 综合上述两个存储层次的作用,从整个存储系统来看,就达到了速度快、容量大、位价低的优化效果。 主存与Cache之间的信息调度功能全部由硬件自动完成。而主存—辅存层次的调度目前广泛采用虚拟存储技术实现,即将主存与辅存的一部份通过软硬结合的技术组成虚拟存储器,程序员可使用这个比主存实际空间(物理地址空间)大得多的虚拟地址空间(逻辑地址空间)编程,当程序运行时,再由软、硬件自动配合完成虚拟地址空间与主存实际物理空间的转换。因此,这两个层次上的调度或转换操作对于程序员来说都是透明的。

计算机组成原理第五版 白中英(详细)第5章习题参考答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是(IR ); (2)保存当前正在执行的指令地址的寄存器是(AR ) (3)算术逻辑运算结果通常放在(DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及微操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

计算机组成原理_第四版课后习题答案(完整版)[]

第一章 1.比较数字计算机和模拟计算机的特点 解:模拟计算机的特点:数值由连续量来表示,运算过程是连续的;数字计算机的特点:数值由数字量(离散量)来表示,运算按位进行。两者主要区别见 P1 表 1.1 。 2.数字计算机如何分类?分类的依据是什么? 解:分类:数字计算机分为专用计算机和通用计算机。通用计算机又分为巨型机、大型机、 中型机、小型机、微型机和单片机六类。分类依据:专用和通用是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、 指令系统规模和机器价格等因素。 3.数字计算机有那些主要应用?(略) 4.冯 . 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分? 解:冯 . 诺依曼型计算机的主要设计思想是:存储程序和程序控制。存储程序:将解题的程序(指令序列)存放到存储器中;程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。 主要组成部分有:控制器、运算器、存储器、输入设备、输出设备。 5.什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 解:存储容量:指存储器可以容纳的二进制信息的数量,通常用单位KB MB GB来度量,存储 容 量越大,表示计算机所能存储的信息量越多,反映了计算机存储空间的大小。单元地址:单元地址简称地址,在存储器中每个存储单元都有唯一的地址编号,称为单元地 址。 数据字:若某计算机字是运算操作的对象即代表要处理的数据,则称数据字。指令字:若某计算机字代表一条指令或指令的一部分,则称指令字。 6.什么是指令?什么是程序? 解:指令:计算机所执行的每一个基本的操作。程序:解算某一问题的一串指令序列称为该问题的计算程序,简称程序。 7.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 解:一般来讲,在取指周期中从存储器读出的信息即指令信息;而在执行周期中从存储器中读出的信息即为数据信息。

计算机组成原理

第一章 1.说明计算机系统的层次结构。 第一级是微程序级, 第二级是传统机器级, 第三级是操作系统级, 第四级是汇编语言级, 第五级是高级语言级, 第六级是应用语言级 2.冯诺依曼计算机的特点 计算机由运算器、存储器、控制器、输入输出设备五大部分组成 指令和数据以同等地位存放在存储器,并可按地址寻访 指令和数据均用二进制数表示 指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置 指令在存储器内按顺序存放,通常指令是顺序执行的,在特定条件下,可根据运算结果或根据设定条件改变执行顺序 机器以运算器为中心,输入输出设备与存储器间的数据传送通过运算器完成。 3.计算机的工作步骤 (1)上机前的准备:建立数学模型、确定计算方法、编制解题程序 (2)上机运行 4.指令和数据都存储于存储器中,计算机如何区分他们? 计算机区分指令和数据有以下2种方法: 通过不同的时间段来区分指令和数据,即在取指令阶段(或取指微程序)取出的为指令,在执行指令阶段(或相应微程序)取出的即为数据。 通过地址来源区分,由PC提供存储单元地址的取出的是指令,由指令地址码部分提供存储单元地址取出的是操作数。 第三章 1、什么是总线,特点,为了减轻总线的负载,总线上的部件都应具备什么特点 总线是链接多个部件的信息传输线,是各部件共享的传输介质 特点:某一时刻只能有一路信息在总线上传输 总线上的部件应通过三态驱动缓冲电路与总线连通 2、总线的分类:片内总线;系统总线(数据总线,地址总线);控制总线;通信总线 3、总线的特性:机械特性,电气特性,功能特性,时间特性 4、总线的性能指标:总线宽度,总线带宽,时钟同步/异步,总线复用,信号线数,总线控

计算机组成原理第五版 白中英(详细)第4章习题参考答案

第4章习题参考答案 1.ASCII码是7位,如果设计主存单元字长为32位,指令字长为12位,是否合理?为什么? 答:不合理。指令最好半字长或单字长,设16位比较合适。一个字符的ASCII 是7位,如果设计主存单元字长为32位,则一个单元可以放四个字符,这也是可以的,只是在存取单个字符时,要多花些时间而已,不过,一条指令至少占一个单元,但只占一个单元的12位,而另20位就浪费了,这样看来就不合理,因为通常单字长指令很多,浪费也就很大了。 2.假设某计算机指令长度为32位,具有双操作数、单操作数、无操作数三类指令形式,指令系统共有70条指令,请设计满足要求的指令格式。 答:字长32位,指令系统共有70条指令,所以其操作码至少需要7位。 双操作数指令 单操作数指令 无操作数指令 3.指令格式结构如下所示,试分析指令格式及寻址方式特点。 答:该指令格式及寻址方式特点如下: (1) 单字长二地址指令。 (2) 操作码字段OP可以指定26=64种操作。 (3) 源和目标都是通用寄存器(可分指向16个寄存器)所以是RR型指令,即两个操作数均在寄存器中。 (4) 这种指令结构常用于RR之间的数据传送及算术逻辑运算类指令。 4.指令格式结构如下所示,试分析指令格式及寻址方式特点。 15 10 9 8 7 4 3 0 答:该指令格式及寻址方式特点如下: (1)双字长二地址指令,用于访问存储器。 (2)操作码字段OP可以指定26=64种操作。 (3)RS型指令,一个操作数在通用寄存器(选择16个之一),另一个操作数 在主存中。有效地址可通过变址寻址求得,即有效地址等于变址寄存器(选择16个之一)内容加上位移量。

计算机组成原理题(附答案)

计算机组成原理题解指南 第一部分:简答题 第一章计算机系统概论 1.说明计算机系统的层次结构。 计算机系统可分为:微程序机器级,一般机器级(或称机器语言级),操作系统级,汇编语言级,高级语言级。 第四章主存储器 1.主存储器的性能指标有哪些?含义是什么? 存储器的性能指标主要是存储容量. 存储时间、存储周期和存储器带宽。 在一个存储器中可以容纳的存储单元总数通常称为该存储器的存储容量。 存取时间又称存储访问时间,是指从启动一次存储器操作到完成该操作所经历的时间。 存储周期是指连续两次独立的存储器操作(如连续两次读操作)所需间隔的最小时间。 存储器带宽是指存储器在单位时间中的数据传输速率。 2.DRAM存储器为什么要刷新?DRAM存储器采用何种方式刷新?有哪几种常用的刷新方式? DRAM存储元是通过栅极电容存储电荷来暂存信息。由于存储的信息电荷终究是有泄漏的,电荷数又不能像SRAM存储元那样由电源经负载管来补充,时间一长,信息就会丢失。为此必须设法由外界按一定规律给栅极充电,按需要补给栅极电容的信息电荷,此过程叫“刷新”。 DRAM采用读出方式进行刷新。因为读出过程中恢复了存储单元的MOS栅极电容电荷,并保持原单元的内容,所以读出过程就是再生过程。 常用的刷新方式由三种:集中式、分散式、异步式。 3.什么是闪速存储器?它有哪些特点? 闪速存储器是高密度、非易失性的读/写半导体存储器。从原理上看,它属于ROM型存储器,但是它又可随机改写信息;从功能上看,它又相当于RAM,所以传统ROM与RAM的定义和划分已失去意义。因而它是一种全新的存储器技术。 闪速存储器的特点:(1)固有的非易失性,(2)廉价的高密度,(3)可直接执行,(4)固态性能。4.请说明SRAM的组成结构,与SRAM相比,DRAM在电路组成上有什么不同之处? SRAM存储器由存储体、读写电路、地址译码电路、控制电路组成,DRAM还需要有动态刷新电路。 第五章指令系统 1.在寄存器—寄存器型,寄存器—存储器型和存储器—存储器型三类指令中,哪类指令的执行时间最长?哪类指令的执行时间最短?为什么? 寄存器-寄存器型执行速度最快,存储器-存储器型执行速度最慢。因为前者操作数在寄存器中,后者操作数在存储器中,而访问一次存储器所需的时间一般比访问一次寄存器所需时间长。 2.一个较完整的指令系统应包括哪几类指令? 包括:数据传送指令、算术运算指令、逻辑运算指令、程序控制指令、输入输出指令、堆栈指令、字符串指令、特权指令等。 3.什么叫指令?什么叫指令系统? 指令就是要计算机执行某种操作的命令 一台计算机中所有机器指令的集合,称为这台计算机的指令系统。 第六章中央处理部件CPU 1.指令和数据均存放在内存中,计算机如何从时间和空间上区分它们是指令还是数据。 时间上讲,取指令事件发生在“取指周期”,取数据事件发生在“执行周期”。从空间上讲,从内存读出的指令流流向控制器(指令寄存器)。从内存读出的数据流流向运算器(通用寄存器)。 2.简述CPU的主要功能。 CPU主要有以下四方面的功能:(1)指令控制程序的顺序控制,称为指令控制。 (2)操作控制 CPU管理并产生由内存取出的每条指令的操作信号,把各种操作信号送往相应部件,从而 控制这些部件按指令的要求进行动作。 (3)时间控制对各种操作实施时间上的控制,称为时间控制。 (4)数据加工对数据进行算术运算和逻辑运算处理,完成数据的加工处理。 3.举出CPU中6个主要寄存器的名称及功能。 CPU有以下寄存器: (1)指令寄存器(IR):用来保存当前正在执行的一条指令。 (2)程序计数器(PC):用来确定下一条指令的地址。 (3)地址寄存器(AR):用来保存当前CPU所访问的内存单元的地址。

计算机组成原理第四章课后题参考答案教程文件

计算机组成原理第四章课后题参考答案

第四章课后题参考答案 3.指令格式结构如下所示,试分析指令格式及寻址方式特点。 解:指令格式及寻址方式特点如下: ① 单字长二地址指令; ② 操作码OP可指定=64条指令; ③ RR型指令,两个操作数均在寄存器中,源和目标都是通用寄存器(可分别指定16个寄存器之一);

④ 这种指令格式常用于算术逻辑类指令。 4.指令格式结构如下所示,试分析指令格式及寻址方式特点。 解:指令格式及寻址方式特点如下: ① 双字长二地址指令; ② 操作码OP可指定=64条指令; ③ RS型指令,两个操作数一个在寄存器中(16个寄存器之一),另一个在存储器中(由变址寄存器和偏移量决定),变址寄存器可有16个。

6.一种单地址指令格式如下所示,其中I为间接特征,X为寻址模式,D为形式地址。I,X,D组成该指令的操作数有效地址E。设R为变址寄存器,R1 为基值寄存器,PC为程序计数器,请在下表中第一列位置填入适当的寻址方式名称。 解:① 直接寻址 ② 相对寻址 ③ 变址寻址 ④ 基址寻址 ⑤ 间接寻址 ⑥ 基址间址寻址 12. 根据操作数所在位置,指出其寻址方式(填空): (1)操作数在寄存器中,为(A)寻址方式。 (2)操作数地址在寄存器,为(B)寻址方式。 (3)操作数在指令中,为(C)寻址方式。 (4)操作数地址(主存)在指令中,为(D)寻址方式 (5)操作数的地址,为某一寄存器内容与位移量之和可以是(E,F,G)寻址方式。 解:A:寄存器直接(或寄存器); B:寄存器间接; C:立即;

D:直接; E:相对; F:基址;G:变址 补充一下,间接寻址可以表述为: 操作数地址(主存)在内存中 或者 操作数地址的地址(主存)在指令中

计算机组成原理-第7章以后作业答案

第七章指令系统 ?7-1指令,程序 指令:计算机执行某种操作的命令 程序:由有序的指令串构成,程序要解决一个具体的问题 指令系统:一台计算机能执行的全部指令的集合 指令系统的重要性:软件编程的基础,硬件设计的依据,综合考虑计算机的软硬件是计算机设计的关键因素。 ?7-2操作码 操作码用来指明该指令所要完成的操作。通常位数反映了机器的操作种类,即机器允许的指令条数,如7位→2^7=128条指令 固定长度操作码:操作码长度(占二进制位数)固定不变 硬件设计相对简单 指令译码时间开销小 指令空间利用率较低 可变长度操作码:操作码长度随指令地址数目的不同而不同(可平均缩短指令长度) 硬件设计相对复杂 指令译码时间开销较大 指令空间利用率较高 例:某机器采用固定长度指令系统,16位,包括3地址指令15条,双地址指令10条,单地址指令若干,每个地址占4位。问:该指令系统最多容纳多少个单地址指令,并设计该指令系统的操作码编码方案 析:每条指令:一个唯一操作码编码,不同类型指令具有不同标识,用扩展操作码方案 三15条,1111 双10条,6个没用6*16=96个 ? 7.3什么是指令字长、机器字长和存储字长? ? 7.6某指令系统字长为16位,地址码取4位,试提出一种方案,使该指令系统 有8条三地址指令、16条二地址指令、100条一地址指令。 固定操作码为4位。 8条三地址指令操作码为:0000~0111(剩下1000~1111共8个扩展窗口) 16条二地址指令操作码为:1000 0000~1000 1111 (剩下1001 0000~1111 1111共112个扩展窗口)100条一地址指令操作码为:10010000 0000~10010000 1111 10010001 0000~10010001 1111 10010010 0000~10010010 1111 10010011 0000~10010011 1111 10010100 0000~10010100 1111 10010101 0000~10010101 1111 10010110 0000~10010110 0011

计算机组成原理第五章单元测试(含答案)

第五章指令系统测试 1、以下四种类型指令中,执行时间最长的是()(单选) A、RR型指令 B、RS型指令 C、SS型指令 D、程序控制类指令 2、程序控制类指令的功能是()(单选) A、进行算术运算和逻辑运算 B、进行主存与CPU之间的数据传送 C、进行CPU和I/O设备之间的数据传送 D、改变程序执行的顺序 3、单地址指令中为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个常需采用的寻址方式是( )(单选) A、立即数寻址 B、寄存器寻址 C、隐含寻址 D、直接寻址 4、下列属于指令系统中采用不同寻址方式的目的主要是()(单选) A、为了实现软件的兼容和移植 B、缩短指令长度,扩大寻址空间,提高编程灵活性 C、为程序设计者提供更多、更灵活、更强大的指令 D、丰富指令功能并降低指令译码难度 5、寄存器间接寻址方式中,操作数存放在()中(单选) A、通用寄存器 B、主存 C、数据缓冲寄存器MDR D、指令寄存器 6、指令采用跳跃寻址方式的主要作用是() (单选) A、访问更大主存空间 B、实现程序的有条件、无条件转移 C、实现程序浮动 D、实现程序调用 7、下列寻址方式中,有利于缩短指令地址码长度的是()(单选) A、寄存器寻址 B、隐含寻址 C、直接寻址

D、间接寻址 8、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数的有效地址为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 9、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 10、某计算机按字节编址,采用大端方式存储信息。其中,某指令的一个操作数的机器数为ABCD 00FFH,该操作数采用基址寻址方式,指令中形式地址(用补码表示)为FF00H,当前基址寄存器的内容为C000 0000H,则该操作数的LSB(即该操作数的最低位FFH)存放的地址是( ) (单选) A、C000 FF00H B、C000 FF03H C、BFFF FF00H D、BFFF FF03H 11、假定指令地址码给出的是操作数所在的寄存器的编号,则该操作数采用的寻址方式是( )(单选) A、直接寻址 B、间接寻址 C、寄存器寻址 D、寄存器间接寻址 12、相对寻址方式中,操作数有效地址通过( )与指令地址字段给出的偏移量相加得到(单选) A、基址寄存器的值 B、变址寄存器的值 C、程序计数器的值 D、段寄存器的值 13、下列关于二地址指令的叙述中,正确的是( ) (单选) A、运算结果通常存放在其中一个地址码所指向的位置 B、地址码字段一定是操作数 C、地址码字段一定是存放操作数的寄存器编号

计算机组成原理论文

合肥学院 课程论文 题目计算机类课程综述类论文 系部计算机科学与技术 专业计算机科学与技术 班级10计本(2)班 学生姓名王仲秋 2012 年 5 月10 日 计算机类课程综述

内容摘要 计算机组成原理是计算机专业人员必须掌握的基础知识。显而易见《计算机组成原理》是计算机科学与技术专业的一门核心的专业必修课程。本课程侧重于讲授计算机基本部件的构造和组织方式、基本运算的操作原理以及部件和单元的设计思想等。但计算机硬件技术的发展十分迅速,各类新器件、新概念和新内容不断涌现,这就要求我们要与时俱进,自主学习新知识。计算机是一门应用广泛、使用面积广、技术含量高的一门学科和技术,生活中的任何一个角落都离不开计算机的应用,生活中的无处不在需要我们了解和清楚计算机的相关知识。本文从《计算机组成原理》基础课程的各个方面对计算机组成原理做了详细的解释。 关键字:构造组织方式基本运算操作原理设计思想 (一)、计算机组成原理课程综述 随着计算机和通信技术的蓬勃发展,中国开始进入信息化时代,计算机及技术的应用更加广泛深入,计算机学科传统的专业优势已经不再存在。社会和应用对学生在计算机领域的知识与能力提出了新的要求。专家们指出,未来10~15 年是我国信息技术发展的窗口期、关键期。 《计算机组成原理》是计算机科学与技术专业必修的一门专业主干课程。课程要求掌握计算机系统各部件的组成和工作原理、相互联系和作用,最终达到从系统、整机的角度理解计算机的结构与组成,并为后续课程的学习奠定基础。因此掌握计算机的组成原理就显得尤为重要,这就要求课程的编写要深入浅出、通俗易懂。本课程在体系结构上改变了自底向上的编写习惯,采用从外部大框架入手,层层细化的叙述方法。这样便更容易形成计算机的整体观念。 该课程总共分为四篇十章,第一篇(第1、2章)主要介绍计算机系统的基本组成、应用与发展。第二篇(第3、4、5章)详细介绍了出CPU外的存储器、输入输出系统以及连接CPU、存储器和I/O之间的通信总线。第三篇(第6、7、8、章)详细介绍了CPU(除控制单元外)的特性、结构和功能,包括计算机的基本运算、指令系统和中断系统等。第四篇(9、10章)专门介绍控制单元的功能,以及采用组合逻辑和微程序方法设计控制单元的设计思想和实现措施。 (二)、课程主要内容和基本原理

计算机组成原理第七章课后部分答案

7.1什么叫机器指令?什么叫指令系统?为什么说指令系统与机器指令的主要功能以 及与硬件结构之间存在着密切的关系? 机器指令:是CPU能直接识别并执行的指令,它的表现形式是二进制编码。机器指令通常由操作码和操作数两部分组成。 指令系统:计算机所能执行的全部指令的集合,它描述了计算机 内全部的控制信息和“逻辑判断”能力。 指令系统是计算机硬件和软件的接口部分,是全部机器指令的集合。 7.2什么叫寻址方式?为什么要学习寻址方式?寻址方式:指确定本条指令的数 据地址以及下一条将要执行的指 令地址的方法,它与硬件结构紧密相关,而且直接影响指 令格式和指令功能。 学习寻址方式,是为了找到指令中参与操作的数据,然后根据指令,得出结果。 7.3什么是指令字长、机器字长和存储字长?指令字长:是指机器指令中二进制 代码的总位数。指令字长取决 于从操作码的长度、操作数地址的长度和操作数地址的个 数。不同的指令的字长是不同的。 机器字长:是指计算机进行一次整数运算所能处理的二进制数据 的位数(整数运算即定点整数运算)。机器字长也就是运 算器进行定点数运算的字长,通常也是CPU内 部数据通路的宽度。即字长越长,数的表示范围也 越大,精度也越高。机器的字长也会影响机器的运算速

度。 存储字长:一个存储单元存储一串二进制代码(存储字),这串 二进制代码的位数称为存储字长,存储字长可以是8 位、 16 位、32 位等。 7.6 某指令系统字长为16位,地址码取 4 位,提出一种方案,使该指令系统有 8 条三地址指令、16 条二地址指令、100 条一地址指令。 解:三地址指令格式如下: 4 4 4 4 OP A1 A2 A3 指令操作码分配方案如下: 4 位OP 0000 , ,, ,A1,A2,A3:8 条三地址指令 0111 , 1000,0000, ,, ,,, ,A2 ,A3:16 条二地址指令 1000,1111, 1001,0000,0000, A3:100 条一地址指令 1001, 0110, 0011, 1001, 0110, 0100,

郑大远程教育《计算机组成原理》第10章在线测试

《计算机组成原理》第10章在线测试 剩余时间: 59:56 答题须知:1、本卷满分20分。 2、答完题后,请一定要单击下面的“交卷”按钮交卷,否则无法记录本试卷的成绩。 3、在交卷之前,不要刷新本网页,否则你的答题结果将会被清空。 第一题、单项选择题(每题1分,5道题共5分) 1、Amdahl 提出的系统结构定义中的程序设计是为________设计者所看到的计算机属性。D A、机器语言 B、C++ C、Java D、机器语言或编译程序设计者 2、Cache ,多模块交叉技术是属于________层次技术。A A、存储器 B、控制器 C、运算器 D、总线 3、________主要表现为时间重叠、资源重复和资源共享。C A、并发性 B、同时性 C、并行性 D、共享性

4、不属于RISC的特点的是________。B A、流水线结构 B、寻址种类多 C、指令长度固定 D、指令格式种类少 5、Intel公司制成的80386使得X86微处理器进入第________代。C A、一 B、二 C、三 D、四 第二题、多项选择题(每题2分,5道题共10分) 1、计算机并行性主要表现为哪些方面?ABC A、时间重叠 B、资源重复 C、资源共享 D、集中控制 2、并行性包含哪些方面的含义?AC A、同时性 B、稳定性 C、并发性 D、高效率

3、计算机系统结构、计算机组成和计算机实现三个概念之间的关系怎样?ABCDE A、系统结构是计算机系统的软、硬件的界面 B、计算机组成是计算机系统结构的逻辑实现 C、计算机实现是计算机组成的物理实现 D、一种计算机系统结构可以有多种组成实现 E、一种组成也可以有多种物理实现 4、按照指令流和数据流的不同组织方式,指令流和数据流的多重性,将计算机系统分为哪些类别?ABCD A、单指令流单数据流(SISD)计算机系统 B、单指令流多数据流(SIMD)计算机系统 C、多指令流单数据流(MISD)计算机系统 D、多指令流多数据流(MIMD)计算机系统 5、计算机更新换代的标志有哪些?AB A、计算机的器件 B、系统结构的特点 C、指令系统的复杂程度 D、CPU执行指令的速度 第三题、判断题(每题1分,5道题共5分) 1、遵循同一标准,具有开放系统特点的计算之间具有良好的“可移植性”和“互操作性”

计算机组成原理第四章单元测试题

存储系统(一)单元测验 1、CPU可直接访问的存储器是 A、磁盘 B、主存 C、光盘 D、磁带 2、主存储器和CPU之间增加高速缓冲存储器(Cache)的目的是 A、提高存储系统访问速度 B、简化存储管理 C、扩大主存容量 D、支持虚拟存储技术 3、存储字长是指 A、存储器地址线的二进制位数 B、存放在一个存储单元中的二进制位数 C、存储单元总数 D、寄存器的数据位数 4、计算机字长32位,主存容量为128MB,按字编址,其寻址范围为 A、0 ~ 32M-1 B、0 ~ 128M-1 C、0 ~ 64M-1 D、0 ~ 16M-1 5、字位结构为256Kx4位SRAM存储芯片,其地址引脚与数据引脚之和为 A、18 B、22 C、24 D、30 6、某SRAM芯片,存储容量为64K×16位,该芯片的地址线和数据线数目分别为 A、64,16 B、16,64 C、16,16 D、64,64 7、假定用若干块4K *4位的存储芯片组成一个8K*8位的存储器,则地址0B1F所在芯片的最小地址是 A、0000H B、0600H C、0700H D、0B00H

8、计算机系统中的存贮器系统是指 A、RAM和ROM存贮器 B、Cache C、磁盘存储器 D、Cache、主存贮器和外存贮器 9、用若干片2K′4位的存储芯片组成一个8K′8位的存储器,则地址0B1FH所在的芯片在全局的最大地址是 A、0CFFH B、0BFFH C、1BFFH D、0FFFH 10、动态存储器刷新以()为单位进行 A、存储单元 B、行 C、列 D、字节 11、下列存储器类型中,速度最快的是 A、DRAM B、Flash Memory C、SRAM D、EPROM 12、某计算机字长32位,下列地址属性中属于按双字长边界对齐的是 A、存储器地址线低三位全部为0 B、存储器地址线低二位全部为0 C、存储器地址线最低为0 D、存储器地址线低三位取值随意 13、在32位的机器上存放0X12345678,假定该存储单元的最低字节地址为0X4000,则在小端存储模式下存在在0X4002单元的内容是 A、0X12 B、0X34 C、0X56 D、0X78 14、关于内存的下列说法中,错误的是 A、内存的存取速度不能低于CPU速度,否则会造成数据丢失 B、程序只有在数据和代码等被调入内存后才能运行 C、采用虚拟内存技术后程序可以在硬盘上直接运行 D、某计算机内存容量为8GB,按字节编址,那么它的地址总线为33位

《计算机组成原理》第10章在线测试

《计算机组成原理》第10章在线测试 《计算机组成原理》第10章在线测试剩余时间:59:55 答题须知:1、本卷满分20分。 2、答完题后,请一定要单击下面的“交卷”按钮交卷,否则无法记录本试卷的成绩。 3、在交卷之前,不要刷新本网页,否则你的答题结果将会被清空。 第一题、单项选择题(每题1分,5道题共5分) 1、Amdahl 提出的系统结构定义中的程序设计是为________设计者所看到的计算机属性。 A、机器语言 B、C++ C、Java D、机器语言或编译程序设计者 2、Cache ,多模块交叉技术是属于________层次技术。 A、存储器 B、控制器 C、运算器 D、总线 3、________主要表现为时间重叠、资源重复和资源共享。 A、并发性 B、同时性 C、并行性 D、共享性 4、不属于RISC的特点的是________。 A、流水线结构 B、寻址种类多 C、指令长度固定 D、指令格式种类少 5、Intel公司制成的80386使得X86微处理器进入第________代。 A、一 B、二 C、三 D、四 第二题、多项选择题(每题2分,5道题共10分) 1、计算机并行性主要表现为哪些方面? A、时间重叠 B、资源重复 C、资源共享 D、集中控制

2、并行性包含哪些方面的含义? A、同时性 B、稳定性 C、并发性 D、高效率 3、计算机系统结构、计算机组成和计算机实现三个概念之间的关系怎样? A、系统结构是计算机系统的软、硬件的界面 B、计算机组成是计算机系统结构的逻辑实现 C、计算机实现是计算机组成的物理实现 D、一种计算机系统结构可以有多种组成实现 E、一种组成也可以有多种物理实现 4、按照指令流和数据流的不同组织方式,指令流和数据流的多重性,将计算机系统分为哪些类别? A、单指令流单数据流(SISD)计算机系统 B、单指令流多数据流(SIMD)计算机系统 C、多指令流单数据流(MISD)计算机系统 D、多指令流多数据流(MIMD)计算机系统 5、计算机更新换代的标志有哪些? A、计算机的器件 B、系统结构的特点 C、指令系统的复杂程度 D、CPU执行指令的速度 第三题、判断题(每题1分,5道题共5分) 1、遵循同一标准,具有开放系统特点的计算之间具有良好的“可移植性”和“互操作性” 正确错误 2、只要是开放系统的计算机,其操作系统和应用程序即可互相交换使用,而不必作任何修改。

计算机组成原理课后答案第四章_庞海波

第四章思考题与习题 1.解释下列概念主存、辅存、Cache、RAM、SRAM、DRAM、ROM、PROM、EPROM、EEPROM、CDROM、Flash Memory 答: 主存:与CPU 直接交换信息,用来存放数据和程序的存储器。 辅存:主存的后援存储器,不与CPU 直接交换信息。 CACHE:为了解决CPU 和主存的速度匹配,设在主存与CPU之间,起缓冲作用,用于提高访存速度的一种存储器。 RAM:随机存储器:是随机存取的,在程序执行过程中既可读出也可写入,存取时间与存储单元所在位置无关。 SRAM:静态RAM,以触发器原理存储信息。 DRAM:动态RAM,以电容充放电原理存储信息。 ROM:只读存储器,在程序执行过程中只能读出,而不能对其写入。 PROM:一次性编程的只读存储器。 EPROM:可擦除的可编程只读存储器,用紫外线照射进行擦写。 EEPROM:用电可擦除的可编程只读存储器。 CDROM:只读型光盘 Flash Memory:快擦型存储器,是性能价格比好,可靠性高的可擦写非易失型存储器 2.计算机中哪些部件可用于存储信息,请按其速度、容量和价格/位排序说明。 答: 寄存器、缓存、主存、磁盘、磁带等。 速度按顺序越来越慢,容量越来越高和价格/位越来越低 3.存储器的层次结构主要体现在什么地方为什么要分这些层次,计算机如何管理这些层次答:存储器的层次结构主要体现在Cache—主存和主存—辅存这两个存储层次上。 Cache—主存层次在存储系统中主要对CPU访存起加速作用,即从整体运行的效果分析,接近于Cache的速度,而容量和位价却接近于主存。 主存—辅存层次在存储系统中主要起扩容作用,其容量和位价接近于辅存,而速度接近于主存 4.说明存取周期和存取时间的区别。 答: 存取周期和存取时间的主要区别是:存取时间仅为完成一次存取操作的时间,而存取周期不仅包含操作时间,还包含操作后线路的恢复时间。即: 存取周期= 存取时间+ 恢复时间 5.什么是存储器的带宽若存储器的数据总线宽度为32 位,存取周期为200ns,则存储器的带宽是多少 解:存储器的带宽指单位时间内从存储器进出信息的最大数量。 存储器带宽= 1/200ns×32位= 160M位/秒= 20MB/S = 5M字/秒 6.某机字长为32 位,其存储容量是64KB,按字编址它的寻址范围是多少若主存以字节编

计算机组成原理第四版课后题答案五,六章

第五章 1.请在括号内填入适当答案。在CPU中: (1) 保存当前正在执行的指令的寄存器是(指令寄存器IR); (2) 保存当前正要执行的指令地址的寄存器是(程序计数器PC); (3) 算术逻辑运算结果通常放在(通用寄存器)和(数据缓冲寄存器DR)。 2.参见下图(课本P166图5.15)的数据通路。画出存数指令"STA R1 ,(R2)"的指令周期 流程图,其含义是将寄存器R1的内容传送至(R2)为地址的主存单元中。标出各微操作信 号序列。 解:"STA R1 ,(R2)"指令是一条存数指令,其指令周期流程图如下图所示:

3.参见课本P166图5.15的数据通路,画出取数指令"LDA(R3),RO"的指令周期流程图, 其含义是将(R3)为地址的主存单元的内容取至寄存器R0中,标出各微操作控制信号序列。 5.如果在一个CPU周期中要产生3个脉冲 T1 = 200ns ,T2 = 400ns ,T3 = 200ns,试画出 时序产生器逻辑图。 解:节拍脉冲T1 ,T2 ,T3 的宽度实际等于时钟脉冲的周期或是它的倍数,此时T1 = T3 =200ns , T2 = 400 ns ,所以主脉冲源的频率应为 f = 1 / T1 =5MHZ 。为了消除节拍脉冲上的毛刺,环 型脉冲发生器可采用移位寄存器形式。下图画出了题目要求的逻辑电路图和时序信号关系。根据关 系,节拍脉冲T1 ,T2 ,T3 的逻辑表达式如下:

T1 = C1·, T2 = , T3 = 6.假设某机器有80条指令,平均每条指令由4条微指令组成,其中有一条取指微指令是所有指 令公用的。已知微指令长度为32位,请估算控制存储器容量。 解:微指令条数为:(4-1)×80+1=241条 取控存容量为:256×32位=1KB 7. 某ALU器件使用模式控制码M,S3,S2,S1,C来控制执行不同的算术运算和逻辑操作。 下表列出各条指令所要求的模式控制码,其中y为二进制变量,F为

计算机组成原理习题 第五章

第五章 一.填空题 1.控制器由于设计方法的不同可分为型、型和型控制器。 2.控制器在生成各种控制信号时,必须按照一定的进行,以便对各种操作实施时间上的控制。 3.微程序控制的计算机中的控制存储器CM是用来存放的。 4.在微指令的字段编码法中,操作控制字段的分段并非是任意的,必须遵循的分段原则中包括:①把性的微命令分在同一段内;②一般每个小段要留出一个状态,表示。 5.微指令分为和微指令两类,微指令可以同时执行若干个微操作,所以执行机器指令的速度比微指令快。 6.在CPU中,指令寄存器的作用是,其位数取决于;程序计数器的作用是,其位数取决于。 7.指令周期是,最基本的指令周期包括和。 8.根据CPU访存的性质不同,可将CPU的工作周期分为、、和。 9.在CPU中保存当前正在执行的指令的寄存器是,保存下一条指令地址的寄存器是,保存CPU访存地址的寄存器是。 10.中断判优可通过和实现,前者速度更快。 11.中断服务程序的入口地址可通过和寻找。 12.在硬件向量法中,可通过两种方式找到服务程序的入口地址,一种是,另一种是。 13.CPU从主存取出一条指令并执行该指令的时间叫做,它常常用若干个来表示,而后者又包含有若干个。 14.程序顺序执行时,后继指令的地址由形成,遇到转移指令和调用指令时,后继指令的地址从获得。 15.控制器在生成各种控制信号时,必须按照一定的进行,以便对各种操作实施时间上的控制。 16.机器X和Y的主频分别是8MHz和12MHz,则X机的时钟周期为μs。

若X机的平均指令执行速度为0.4MIPS,则X机得平均指令周期为μs。若两个机器的机器周期内时钟周期数相等,则Y机得平均执行速度为MIPS。 17.一个主频为25MHz的CPU,平均每条指令包含2个机器周期,每个机器周期包含2个时钟周期,则计算机的平均速度是。如果每两个机器周期中有一个用于访存,而存储器速度较慢,需再插入2个时钟周期,此时指令周期为μs。 18.微指令格式可分为型和型两类,其中型微指令用较长的微程序结构换取较短的微指令结构。 19.在用微程序实现的控制器中,一条机器指令对应若干条,它又包含若干。微指令格式分成型和型两类,型微指令可同时执行若干个微操作,所以执行指令的速度比快。 20.实现机器指令的微程序一般存放在中,而用户程序存放在中,前者的速度比后者。若采用水平型微指令,则微指令长度一般比机器指令。 21.某计算机采用微程序控制,微指令字中操作控制字段共16位,若采用直接控制,则可以定义种微操作,此时一条微指令最多可同时启动个微操作。若采用编码控制,并要求一条微指令需同时启动4个微操作,则微指令字中的操作控制字段应分段,若每个字段的微命令数相同,这样的微指令格式最多可包含个微操作命令。 22.在微程序控制器中,一次能够定义并执行多个并行操作命令的微指令叫 做型微指令。若采用微操作码方式,一次只能执行一个操作命令的微指令(例如,控制信息从某个源部件到某个目标部件)叫做型微指令,后者实现一条机器指令的微程序要比前者编写的微程序。 23.在串行微程序控制器中,执行现行微指令的操作与取下一条微指令的操作在时间上是进行的,所以微指令周期等于。在并行为程序控制器中,执行现行微指令的操作与取下一条微指令的操作是进行的,所以微指令周期等于。 二.选择题

计算机组成原理第七章课后部分答案 0528

计算机组成原理第七章课后部分答案

7.1 什么叫机器指令?什么叫指令系统?为什么说指令系统与机器指令的主要 功能以及与硬件结构之间存在着密切的关系? 机器指令:是CPU能直接识别并执行的指令,它的表现形式是二进制编码。机器指令通常由操作码和操作数两部分组 成。 指令系统:计算机所能执行的全部指令的集合,它描述了计算机 内全部的控制信息和“逻辑判断”能力。 指令系统是计算机硬件和软件的接口部分,是全部机器指令的集合。 7.2 什么叫寻址方式?为什么要学习寻址方式? 寻址方式:指确定本条指令的数据地址以及下一条将要执行的指令地址的方法,它与硬件结构紧密相关,而且直接影 响指令格式和指令功能。 学习寻址方式,是为了找到指令中参与操作的数据,然后根据指令,得出结果。 7.3什么是指令字长、机器字长和存储字长? 指令字长:是指机器指令中二进制代码的总位数。指令字长取决于从操作码的长度、操作数地址的长度和操作数地址 的个数。不同的指令的字长是不同的。 机器字长:是指计算机进行一次整数运算所能处理的二进制数据 的位数(整数运算即定点整数运算)。

机器字长也就是运算器进行定点数运 算的字长,通常也是CPU内部数据通 路的宽度。即字长越长,数的表示范 围也越大,精度也越高。机器的字长 也会影响机器的运算速度。 存储字长:一个存储单元存储一串二进制代码(存储字),这串二进制代码的位数称为存储字长,存储字长可以是8 位、16位、32位等。 7.6 某指令系统字长为16位,地址码取4位,提出一种方案,使该指令系统有 8条三地址指令、16条二地址指令、100条一地址指令。 解:三地址指令格式如下: 4 4 4 4 OP A1 A2 A3 指令操作码分配方案如下: 4位OP 0000, ……, A1,A2,A3:8条三地址指令 0111, 1000,0000, ……,……, A2,A3:16条二地址指令 1000,1111, 1001,0000,0000,

计算机组成原理第5章习题参考答案

第5章习题参考答案 1.请在括号填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是( IR ); (2)保存当前正在执行的指令地址的寄存器是( AR ) (3)算术逻辑运算结果通常放在( DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及为操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

相关文档
最新文档